Parent directory/ | - | - |
zycore-doc-1.5.0-r0.apk | 407.2 KiB | 2024-10-25 20:53:38 |
zycore-dev-1.5.0-r0.apk | 38.4 KiB | 2024-10-25 20:53:38 |
zycore-1.5.0-r0.apk | 20.7 KiB | 2024-10-25 20:53:38 |
zvbi-doc-0.2.43-r0.apk | 21.0 KiB | 2024-12-07 20:33:56 |
zvbi-0.2.43-r0.apk | 187.4 KiB | 2024-12-07 20:33:56 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.8 KiB | 2024-10-25 20:53:38 |
zsh-fzf-tab-0_git20220331-r1.apk | 16.1 KiB | 2024-10-25 20:53:38 |
zrepl-zsh-completion-0.6.1-r6.apk | 1.9 KiB | 2024-10-25 20:53:38 |
zrepl-openrc-0.6.1-r6.apk | 1.7 KiB | 2024-10-25 20:53:38 |
zrepl-bash-completion-0.6.1-r6.apk | 4.5 KiB | 2024-10-25 20:53:38 |
zrepl-0.6.1-r6.apk | 6.3 MiB | 2024-10-25 20:53:38 |
zot-openrc-2.1.1-r0.apk | 2.0 KiB | 2024-12-15 19:22:32 |
zot-exporter-2.1.1-r0.apk | 4.3 MiB | 2024-12-15 19:22:32 |
zot-doc-2.1.1-r0.apk | 7.6 KiB | 2024-12-15 19:22:31 |
zot-cli-zsh-completion-2.1.1-r0.apk | 4.0 KiB | 2024-12-15 19:22:31 |
zot-cli-fish-completion-2.1.1-r0.apk | 4.3 KiB | 2024-12-15 19:22:31 |
zot-cli-bash-completion-2.1.1-r0.apk | 5.0 KiB | 2024-12-15 19:22:31 |
zot-cli-2.1.1-r0.apk | 8.3 MiB | 2024-12-15 19:22:31 |
zot-2.1.1-r0.apk | 61.4 MiB | 2024-12-15 19:22:31 |
znc-push-0_git20220823-r8.apk | 90.0 KiB | 2024-10-25 20:53:37 |
znc-playback-0_git20210503-r8.apk | 19.9 KiB | 2024-10-25 20:53:37 |
znc-clientbuffer-1.0.48-r8.apk | 18.3 KiB | 2024-10-25 20:53:37 |
znc-backlog-0_git20210503-r8.apk | 21.4 KiB | 2024-10-25 20:53:37 |
zita-resampler-doc-1.10.1-r0.apk | 4.0 KiB | 2024-10-25 20:53:37 |
zita-resampler-dev-1.10.1-r0.apk | 3.3 KiB | 2024-10-25 20:53:37 |
zita-resampler-1.10.1-r0.apk | 20.0 KiB | 2024-10-25 20:53:37 |
zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-10-25 20:53:37 |
zita-njbridge-0.4.8-r1.apk | 32.3 KiB | 2024-10-25 20:53:37 |
zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-10-25 20:53:37 |
zile-2.6.2-r1.apk | 119.9 KiB | 2024-10-25 20:53:37 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-10-25 20:53:37 |
zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-10-25 20:53:37 |
zfs-src-2.2.4-r1.apk | 32.4 MiB | 2025-01-09 11:46:17 |
zarchive-libs-0.1.2-r2.apk | 25.5 KiB | 2024-10-25 20:53:33 |
zarchive-dev-0.1.2-r2.apk | 6.7 KiB | 2024-10-25 20:53:33 |
zarchive-0.1.2-r2.apk | 15.3 KiB | 2024-10-25 20:53:33 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2.1 KiB | 2024-10-25 20:53:33 |
zapret-doc-0.0.0_git20220125-r1.apk | 98.5 KiB | 2024-10-25 20:53:33 |
zapret-0.0.0_git20220125-r1.apk | 83.7 KiB | 2024-10-25 20:53:33 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-10-25 20:53:33 |
z-doc-1.12-r0.apk | 3.9 KiB | 2024-10-25 20:53:28 |
z-1.12-r0.apk | 4.6 KiB | 2024-10-25 20:53:28 |
yubikey-agent-0.1.6-r7.apk | 1.6 MiB | 2024-10-25 20:53:28 |
ytt-0.47.0-r5.apk | 4.2 MiB | 2024-10-25 20:53:27 |
ytmdl-zsh-completion-2024.08.15.1-r0.apk | 2.1 KiB | 2024-10-25 20:53:26 |
ytmdl-pyc-2024.08.15.1-r0.apk | 78.1 KiB | 2024-10-25 20:53:26 |
ytmdl-bash-completion-2024.08.15.1-r0.apk | 2.2 KiB | 2024-10-25 20:53:26 |
ytmdl-2024.08.15.1-r0.apk | 50.0 KiB | 2024-10-25 20:53:26 |
youtube-viewer-gtk-3.11.1-r0.apk | 171.0 KiB | 2024-10-25 20:53:26 |
youtube-viewer-doc-3.11.1-r0.apk | 40.9 KiB | 2024-10-25 20:53:26 |
youtube-viewer-3.11.1-r0.apk | 83.5 KiB | 2024-10-25 20:53:26 |
youki-dbg-0.4.1-r0.apk | 4.6 KiB | 2024-10-25 20:53:26 |
youki-0.4.1-r0.apk | 1.6 MiB | 2024-10-25 20:53:26 |
yosys-dev-0.42-r0.apk | 118.7 KiB | 2024-10-25 20:53:26 |
yosys-0.42-r0.apk | 19.4 MiB | 2024-10-25 20:53:26 |
yoshimi-doc-2.3.2-r0.apk | 4.5 MiB | 2024-10-25 20:53:24 |
yoshimi-2.3.2-r0.apk | 5.8 MiB | 2024-10-25 20:53:24 |
yodl-doc-4.02.00-r1.apk | 59.0 KiB | 2024-10-25 20:53:23 |
yodl-4.02.00-r1.apk | 150.7 KiB | 2024-10-25 20:53:23 |
yices2-libs-2.6.4-r0.apk | 985.8 KiB | 2024-10-25 20:53:23 |
yices2-dev-2.6.4-r0.apk | 41.0 KiB | 2024-10-25 20:53:23 |
yices2-2.6.4-r0.apk | 2.6 MiB | 2024-10-25 20:53:23 |
yeti-1.1-r0.apk | 816.0 KiB | 2024-10-25 20:53:21 |
ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-10-25 20:53:20 |
ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-10-25 20:53:20 |
ydcv-0.7-r8.apk | 20.0 KiB | 2024-10-25 20:53:20 |
yazi-zsh-completion-0.4.2-r0.apk | 2.1 KiB | 2024-12-20 15:56:21 |
yazi-fish-completion-0.4.2-r0.apk | 1.8 KiB | 2024-12-20 15:56:21 |
yazi-doc-0.4.2-r0.apk | 2.2 KiB | 2024-12-20 15:56:21 |
yazi-cli-0.4.2-r0.apk | 988.2 KiB | 2024-12-20 15:56:21 |
yazi-bash-completion-0.4.2-r0.apk | 2.0 KiB | 2024-12-20 15:56:21 |
yazi-0.4.2-r0.apk | 4.5 MiB | 2024-12-20 15:56:21 |
yaru-theme-viridian-23.10.0-r1.apk | 759.6 KiB | 2024-12-01 02:29:47 |
yaru-theme-sage-23.10.0-r1.apk | 762.4 KiB | 2024-12-01 02:29:47 |
yaru-theme-red-23.10.0-r1.apk | 760.4 KiB | 2024-12-01 02:29:47 |
yaru-theme-purple-23.10.0-r1.apk | 756.5 KiB | 2024-12-01 02:29:47 |
yaru-theme-prussiangreen-23.10.0-r1.apk | 759.7 KiB | 2024-12-01 02:29:47 |
yaru-theme-olive-23.10.0-r1.apk | 759.1 KiB | 2024-12-01 02:29:47 |
yaru-theme-mate-23.10.0-r1.apk | 727.4 KiB | 2024-12-01 02:29:47 |
yaru-theme-magenta-23.10.0-r1.apk | 761.4 KiB | 2024-12-01 02:29:47 |
yaru-theme-hdpi-23.10.0-r1.apk | 73.2 KiB | 2024-12-01 02:29:47 |
yaru-theme-blue-23.10.0-r1.apk | 764.6 KiB | 2024-12-01 02:29:47 |
yaru-theme-bark-23.10.0-r1.apk | 762.9 KiB | 2024-12-01 02:29:47 |
yaru-theme-23.10.0-r1.apk | 839.5 KiB | 2024-12-01 02:29:47 |
yaru-sounds-23.10.0-r1.apk | 675.6 KiB | 2024-12-01 02:29:47 |
yaru-shell-23.10.0-r1.apk | 735.5 KiB | 2024-12-01 02:29:47 |
yaru-schemas-23.10.0-r1.apk | 1.8 KiB | 2024-12-01 02:29:47 |
yaru-icon-theme-viridian-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-sage-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-red-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-purple-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-prussiangreen-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-olive-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-mate-23.10.0-r1.apk | 1.2 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-magenta-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-blue-23.10.0-r1.apk | 1.1 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-bark-23.10.0-r1.apk | 1.0 MiB | 2024-12-01 02:29:47 |
yaru-icon-theme-23.10.0-r1.apk | 34.7 MiB | 2024-12-01 02:29:47 |
yaru-common-23.10.0-r1.apk | 4.5 KiB | 2024-12-01 02:29:45 |
yarr-openrc-2.4-r8.apk | 2.0 KiB | 2024-10-25 20:53:05 |
yarr-doc-2.4-r8.apk | 7.7 KiB | 2024-10-25 20:53:05 |
yarr-2.4-r8.apk | 3.9 MiB | 2024-10-25 20:53:05 |
yarn-berry-4.6.0-r0.apk | 970.2 KiB | 2025-01-07 06:50:39 |
yamlfmt-doc-0.12.1-r1.apk | 5.5 KiB | 2024-10-25 20:53:04 |
yamlfmt-0.12.1-r1.apk | 1.5 MiB | 2024-10-25 20:53:04 |
yamkix-pyc-0.10.0-r1.apk | 11.7 KiB | 2024-10-25 20:53:04 |
yamkix-0.10.0-r1.apk | 14.2 KiB | 2024-10-25 20:53:04 |
yaegi-0.16.1-r3.apk | 6.8 MiB | 2024-10-25 20:53:04 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 2.5 KiB | 2024-10-25 20:53:03 |
xwaylandvideobridge-0.4.0-r1.apk | 47.7 KiB | 2024-10-25 20:53:03 |
xvkbd-doc-4.1-r2.apk | 10.7 KiB | 2024-10-25 20:53:03 |
xvkbd-4.1-r2.apk | 293.9 KiB | 2024-10-25 20:53:03 |
xvidtune-doc-1.0.4-r0.apk | 4.1 KiB | 2024-10-25 20:53:03 |
xvidtune-1.0.4-r0.apk | 16.4 KiB | 2024-10-25 20:53:03 |
xva-img-1.5-r0.apk | 17.1 KiB | 2024-10-25 20:53:03 |
xtl-0.7.7-r0.apk | 110.6 KiB | 2024-10-25 20:53:03 |
xtensor-0.24.7-r0.apk | 270.2 KiB | 2024-10-25 20:53:03 |
xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-10-25 20:53:03 |
xsoldier-1.8-r2.apk | 68.1 KiB | 2024-10-25 20:53:03 |
xsecurelock-doc-1.9.0-r1.apk | 17.7 KiB | 2024-10-25 20:53:03 |
xsecurelock-1.9.0-r1.apk | 67.3 KiB | 2024-10-25 20:53:03 |
xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-10-25 20:53:03 |
xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-10-25 20:53:03 |
xsane-0.999-r2.apk | 1.5 MiB | 2024-10-25 20:53:03 |
xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-10-25 20:53:03 |
xosview-1.24-r0.apk | 120.7 KiB | 2024-10-25 20:53:03 |
xonsh-pyc-0.19.0-r0.apk | 1.0 MiB | 2024-12-25 19:27:23 |
xonsh-0.19.0-r0.apk | 584.5 KiB | 2024-12-25 19:27:23 |
xone-src-0.3_git20230517-r0.apk | 43.4 KiB | 2024-10-25 20:53:02 |
xmppipe-0.16.0-r1.apk | 16.2 KiB | 2024-10-25 20:53:02 |
xmpp-dns-0.2.4-r19.apk | 1.6 MiB | 2024-10-25 20:53:02 |
xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-10-25 20:53:02 |
xmp-4.2.0-r0.apk | 22.7 KiB | 2024-10-25 20:53:02 |
xml2rfc-pyc-3.25.0-r0.apk | 403.8 KiB | 2024-12-12 19:36:00 |
xml2rfc-3.25.0-r0.apk | 349.7 KiB | 2024-12-12 19:36:00 |
xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-10-25 20:53:02 |
xmag-1.0.8-r0.apk | 17.1 KiB | 2024-10-25 20:53:02 |
xload-doc-1.1.4-r0.apk | 3.4 KiB | 2024-10-25 20:53:02 |
xload-1.1.4-r0.apk | 6.7 KiB | 2024-10-25 20:53:02 |
xkb-switch-doc-1.8.5-r0.apk | 2.1 KiB | 2024-10-25 20:53:02 |
xkb-switch-1.8.5-r0.apk | 19.6 KiB | 2024-10-25 20:53:02 |
xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-10-25 20:53:02 |
xisxwayland-2-r1.apk | 3.9 KiB | 2024-10-25 20:53:02 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.5 KiB | 2024-10-25 20:53:02 |
xiccd-0.3.0_git20211219-r1.apk | 15.5 KiB | 2024-10-25 20:53:02 |
xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-10-25 20:53:02 |
xgalaga-2.1.1.0-r1.apk | 307.6 KiB | 2024-10-25 20:53:02 |
xfe-xfw-1.46.2-r0.apk | 288.0 KiB | 2024-10-25 20:53:02 |
xfe-xfp-1.46.2-r0.apk | 245.5 KiB | 2024-10-25 20:53:02 |
xfe-xfi-1.46.2-r0.apk | 270.3 KiB | 2024-10-25 20:53:02 |
xfe-lang-1.46.2-r0.apk | 533.7 KiB | 2024-10-25 20:53:02 |
xfe-doc-1.46.2-r0.apk | 4.0 KiB | 2024-10-25 20:53:02 |
xfe-1.46.2-r0.apk | 1.3 MiB | 2024-10-25 20:53:02 |
xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-10-25 20:53:02 |
xfd-1.1.4-r0.apk | 13.1 KiB | 2024-10-25 20:53:02 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 44.3 KiB | 2024-10-25 20:53:02 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19.4 KiB | 2024-10-25 20:53:02 |
xfce4-panel-profiles-1.0.14-r1.apk | 56.5 KiB | 2024-10-25 20:53:02 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.7 KiB | 2024-10-25 20:53:02 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-10-25 20:53:02 |
xfce4-mixer-4.18.1-r2.apk | 86.2 KiB | 2024-10-25 20:53:02 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.3 KiB | 2024-10-25 20:53:02 |
xfce4-hamster-plugin-1.17-r0.apk | 35.0 KiB | 2024-10-25 20:53:02 |
xemu-0.8.5-r0.apk | 5.3 MiB | 2025-01-05 01:38:25 |
xed-python-3.8.1-r0.apk | 24.3 KiB | 2024-12-24 06:13:32 |
xed-lang-3.8.1-r0.apk | 2.1 MiB | 2024-12-24 06:13:32 |
xed-doc-3.8.1-r0.apk | 970.8 KiB | 2024-12-24 06:13:32 |
xed-dev-3.8.1-r0.apk | 13.6 KiB | 2024-12-24 06:13:32 |
xed-3.8.1-r0.apk | 1.1 MiB | 2024-12-24 06:13:32 |
xdg-ninja-0.2.0.2-r0.apk | 70.4 KiB | 2024-10-25 20:53:01 |
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk | 2.4 KiB | 2024-10-25 20:53:01 |
xdg-desktop-portal-hyprland-1.3.3-r0.apk | 262.1 KiB | 2024-10-25 20:53:01 |
xcompmgr-doc-1.1.9-r0.apk | 2.6 KiB | 2024-10-25 20:53:01 |
xcompmgr-1.1.9-r0.apk | 14.4 KiB | 2024-10-25 20:53:01 |
xcape-doc-1.2-r0.apk | 3.1 KiB | 2024-10-25 20:53:01 |
xcape-1.2-r0.apk | 6.7 KiB | 2024-10-25 20:53:01 |
xandikos-pyc-0.2.12-r1.apk | 188.8 KiB | 2024-11-25 03:43:23 |
xandikos-openrc-0.2.12-r1.apk | 2.1 KiB | 2024-11-25 03:43:23 |
xandikos-doc-0.2.12-r1.apk | 2.4 KiB | 2024-11-25 03:43:23 |
xandikos-0.2.12-r1.apk | 91.2 KiB | 2024-11-25 03:43:23 |
xa-doc-2.3.14-r0.apk | 15.2 KiB | 2024-10-25 20:53:01 |
xa-2.3.14-r0.apk | 59.6 KiB | 2024-10-25 20:53:01 |
x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-10-25 20:53:01 |
x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-10-25 20:53:01 |
wtfutil-0.43.0-r8.apk | 18.2 MiB | 2024-10-25 20:53:00 |
wsmancli-doc-2.6.2-r0.apk | 3.7 KiB | 2024-10-25 20:52:59 |
wsmancli-2.6.2-r0.apk | 17.1 KiB | 2024-10-25 20:52:59 |
wshowkeys-1.0-r0.apk | 14.1 KiB | 2024-10-25 20:52:59 |
wroomd-openrc-0.1.0-r0.apk | 1.7 KiB | 2024-10-25 20:52:59 |
wroomd-0.1.0-r0.apk | 1.0 MiB | 2024-10-25 20:52:59 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-10-25 20:52:59 |
wput-0.6.2-r4.apk | 38.8 KiB | 2024-10-25 20:52:59 |
wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-10-25 20:52:59 |
wpa_actiond-1.4-r7.apk | 9.7 KiB | 2024-10-25 20:52:59 |
wordgrinder-doc-0.8-r2.apk | 18.4 KiB | 2024-10-25 20:52:59 |
wordgrinder-0.8-r2.apk | 482.3 KiB | 2024-10-25 20:52:58 |
wolfssh-dev-1.4.17-r0.apk | 273.7 KiB | 2024-10-25 20:52:52 |
wolfssh-1.4.17-r0.apk | 137.3 KiB | 2024-10-25 20:52:52 |
wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-10-25 20:52:52 |
wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-10-25 20:52:52 |
wol-0.7.1-r3.apk | 26.1 KiB | 2024-10-25 20:52:52 |
wok-pyc-3.0.0-r6.apk | 129.6 KiB | 2024-10-25 20:52:52 |
wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-10-25 20:52:52 |
wok-doc-3.0.0-r6.apk | 3.6 KiB | 2024-10-25 20:52:52 |
wok-3.0.0-r6.apk | 156.6 KiB | 2024-10-25 20:52:52 |
wmi-client-1.3.16-r5.apk | 2.5 MiB | 2024-10-25 20:52:51 |
wmctrl-doc-1.07-r1.apk | 5.3 KiB | 2024-10-25 20:52:49 |
wmctrl-1.07-r1.apk | 13.5 KiB | 2024-10-25 20:52:49 |
wlvncc-0.0.0_git20241130-r0.apk | 76.3 KiB | 2024-12-17 21:50:29 |
wlroots0.17-dev-0.17.4-r1.apk | 77.4 KiB | 2024-11-23 09:17:31 |
wlroots0.17-dbg-0.17.4-r1.apk | 1.5 MiB | 2024-11-23 09:17:31 |
wlroots0.17-0.17.4-r1.apk | 385.0 KiB | 2024-11-23 09:17:31 |
wlroots0.16-dev-0.16.2-r0.apk | 70.7 KiB | 2024-10-28 13:47:32 |
wlroots0.16-dbg-0.16.2-r0.apk | 1.3 MiB | 2024-10-28 13:47:32 |
wlroots0.16-0.16.2-r0.apk | 347.3 KiB | 2024-10-28 13:47:32 |
wlroots0.15-dev-0.15.1-r6.apk | 70.4 KiB | 2024-10-25 20:52:49 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.2 MiB | 2024-10-25 20:52:49 |
wlroots0.15-0.15.1-r6.apk | 292.9 KiB | 2024-10-25 20:52:48 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2024-10-25 20:52:48 |
wlroots0.12-dbg-0.12.0-r1.apk | 1010.7 KiB | 2024-10-25 20:52:48 |
wlroots0.12-0.12.0-r1.apk | 240.0 KiB | 2024-10-25 20:52:47 |
wlopm-doc-0.1.0-r0.apk | 2.2 KiB | 2024-10-25 20:52:46 |
wlopm-0.1.0-r0.apk | 6.5 KiB | 2024-10-25 20:52:46 |
wlclock-doc-1.0.1-r0.apk | 3.5 KiB | 2024-10-25 20:52:46 |
wlclock-1.0.1-r0.apk | 16.4 KiB | 2024-10-25 20:52:46 |
wlavu-0_git20201101-r1.apk | 12.1 KiB | 2024-10-25 20:52:46 |
wl-gammarelay-0.1.1-r9.apk | 1.5 MiB | 2024-10-25 20:52:46 |
wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-10-25 20:52:46 |
wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-10-25 20:52:46 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2024-10-25 20:52:46 |
wiremapper-0.10.0-r0.apk | 21.9 KiB | 2024-10-25 20:52:46 |
wireguard-go-doc-0.0.20230223-r7.apk | 3.6 KiB | 2024-10-25 20:52:46 |
wireguard-go-0.0.20230223-r7.apk | 1.1 MiB | 2024-10-25 20:52:46 |
wiki-tui-doc-0.8.2-r1.apk | 4.6 KiB | 2024-10-25 20:52:45 |
wiki-tui-0.8.2-r1.apk | 1.6 MiB | 2024-10-25 20:52:45 |
whipper-pyc-0.10.0-r5.apk | 184.8 KiB | 2024-10-25 20:52:44 |
whipper-0.10.0-r5.apk | 113.0 KiB | 2024-10-25 20:52:44 |
wgcf-zsh-completion-2.2.24-r0.apk | 4.0 KiB | 2025-01-03 15:52:11 |
wgcf-fish-completion-2.2.24-r0.apk | 4.3 KiB | 2025-01-03 15:52:11 |
wgcf-bash-completion-2.2.24-r0.apk | 5.0 KiB | 2025-01-03 15:52:11 |
wgcf-2.2.24-r0.apk | 3.7 MiB | 2025-01-03 15:52:11 |
wf-shell-doc-0.8.1-r0.apk | 2.2 KiB | 2024-10-25 20:52:40 |
wf-shell-dev-0.8.1-r0.apk | 1.7 KiB | 2024-10-25 20:52:40 |
wf-shell-0.8.1-r0.apk | 6.1 MiB | 2024-10-25 20:52:40 |
wf-config-dev-0.8.0-r1.apk | 16.5 KiB | 2024-10-25 20:52:39 |
wf-config-0.8.0-r1.apk | 99.4 KiB | 2024-10-25 20:52:39 |
welle-io-doc-2.6-r0.apk | 4.0 KiB | 2024-12-22 00:41:27 |
welle-io-2.6-r0.apk | 388.4 KiB | 2024-12-22 00:41:27 |
welle-cli-2.6-r0.apk | 306.4 KiB | 2024-12-22 00:41:27 |
wcm-0.8.0-r0.apk | 366.6 KiB | 2024-10-25 20:52:39 |
wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-10-25 20:52:39 |
wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-10-25 20:52:39 |
wch-isp-0.4.1-r2.apk | 10.6 KiB | 2024-10-25 20:52:39 |
wbg-1.2.0-r0.apk | 39.7 KiB | 2024-10-25 20:52:39 |
wayqt-dev-0.2.0-r0.apk | 17.8 KiB | 2024-10-25 20:52:39 |
wayqt-0.2.0-r0.apk | 106.4 KiB | 2024-10-25 20:52:39 |
waynergy-0.0.17-r0.apk | 52.9 KiB | 2024-10-25 20:52:39 |
wayfire-plugins-extra-0.8.1-r1.apk | 571.5 KiB | 2024-10-25 20:52:39 |
wayfire-doc-0.8.1-r1.apk | 2.7 KiB | 2024-10-25 20:52:39 |
wayfire-dev-0.8.1-r1.apk | 135.2 KiB | 2024-10-25 20:52:39 |
wayfire-0.8.1-r1.apk | 2.3 MiB | 2024-10-25 20:52:39 |
waycheck-1.5.0-r0.apk | 41.8 KiB | 2024-12-22 00:41:27 |
way-displays-doc-1.8.1-r2.apk | 4.1 KiB | 2024-10-25 20:52:39 |
way-displays-1.8.1-r2.apk | 81.6 KiB | 2024-10-25 20:52:39 |
watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-10-25 20:52:39 |
watchdog-5.16-r2.apk | 43.5 KiB | 2024-10-25 20:52:39 |
watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-10-25 20:52:39 |
watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-10-25 20:52:39 |
wasm-tools-doc-1.215.0-r0.apk | 7.2 KiB | 2024-10-25 20:52:39 |
wasm-tools-1.215.0-r0.apk | 2.7 MiB | 2024-10-25 20:52:39 |
warpinator-nemo-1.8.7-r0.apk | 4.1 KiB | 2024-12-24 10:09:43 |
warpinator-lang-1.8.7-r0.apk | 223.7 KiB | 2024-12-24 10:09:43 |
warpinator-1.8.7-r0.apk | 214.9 KiB | 2024-12-24 10:09:43 |
warp-s3-1.0.6-r0.apk | 6.1 MiB | 2024-11-13 01:10:29 |
walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-10-25 20:52:38 |
walk-sor-0_git20190920-r1.apk | 5.4 KiB | 2024-10-25 20:52:38 |
walk-1.10.0-r0.apk | 1.3 MiB | 2024-11-20 20:53:49 |
wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-10-25 20:52:38 |
wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-10-25 20:52:38 |
waifu2x-converter-cpp-5.3.4-r7.apk | 11.6 MiB | 2025-01-10 12:55:44 |
wabt-doc-1.0.36-r0.apk | 13.7 KiB | 2024-10-25 20:52:38 |
wabt-1.0.36-r0.apk | 4.6 MiB | 2024-10-25 20:52:38 |
w_scan2-doc-1.0.15-r0.apk | 4.1 KiB | 2024-10-25 20:52:38 |
w_scan2-1.0.15-r0.apk | 142.4 KiB | 2024-10-25 20:52:38 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-10-25 20:52:38 |
vym-2.9.26-r0.apk | 2.8 MiB | 2024-10-25 20:52:38 |
volumeicon-lang-0.5.1-r1.apk | 3.7 KiB | 2024-10-25 20:52:37 |
volumeicon-0.5.1-r1.apk | 40.6 KiB | 2024-10-25 20:52:37 |
volatility3-pyc-2.8.0-r0.apk | 922.7 KiB | 2024-12-05 17:17:48 |
volatility3-doc-2.8.0-r0.apk | 3.1 KiB | 2024-12-05 17:17:48 |
volatility3-2.8.0-r0.apk | 495.9 KiB | 2024-12-05 17:17:48 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-10-25 20:52:37 |
vmtouch-doc-1.3.1-r0.apk | 7.0 KiB | 2024-10-25 20:52:37 |
vmtouch-1.3.1-r0.apk | 11.8 KiB | 2024-10-25 20:52:37 |
vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-10-25 20:52:37 |
vkbasalt-0.3.2.10-r0.apk | 501.2 KiB | 2024-10-25 20:52:37 |
vit-pyc-2.3.2-r1.apk | 151.4 KiB | 2024-10-25 20:52:37 |
vit-2.3.2-r1.apk | 80.5 KiB | 2024-10-25 20:52:37 |
visidata-zsh-completion-2.11.1-r2.apk | 7.2 KiB | 2024-10-25 20:52:37 |
visidata-pyc-2.11.1-r2.apk | 496.0 KiB | 2024-10-25 20:52:37 |
visidata-doc-2.11.1-r2.apk | 15.8 KiB | 2024-10-25 20:52:37 |
visidata-2.11.1-r2.apk | 248.3 KiB | 2024-10-25 20:52:37 |
virtme-ng-bash-completion-1.32-r0.apk | 2.6 KiB | 2025-01-08 12:20:25 |
virtme-ng-1.32-r0.apk | 194.5 KiB | 2025-01-08 12:20:25 |
virter-zsh-completion-0.28.1-r0.apk | 4.0 KiB | 2024-12-14 21:14:21 |
virter-fish-completion-0.28.1-r0.apk | 4.3 KiB | 2024-12-14 21:14:21 |
virter-doc-0.28.1-r0.apk | 14.8 KiB | 2024-12-14 21:14:21 |
virter-bash-completion-0.28.1-r0.apk | 5.0 KiB | 2024-12-14 21:14:21 |
virter-0.28.1-r0.apk | 5.4 MiB | 2024-12-14 21:14:21 |
virtctl-zsh-completion-1.4.0-r0.apk | 4.0 KiB | 2024-12-14 18:12:36 |
virtctl-fish-completion-1.4.0-r0.apk | 4.3 KiB | 2024-12-14 18:12:36 |
virtctl-bash-completion-1.4.0-r0.apk | 5.1 KiB | 2024-12-14 18:12:36 |
virtctl-1.4.0-r0.apk | 14.2 MiB | 2024-12-14 18:12:36 |
vim-rust-305-r0.apk | 20.3 KiB | 2024-10-25 20:52:35 |
vim-airline-doc-0.11-r0.apk | 12.4 KiB | 2024-10-25 20:52:35 |
vim-airline-0.11-r0.apk | 86.6 KiB | 2024-10-25 20:52:35 |
vile-doc-9.8z-r0.apk | 15.0 KiB | 2024-10-25 20:52:35 |
vile-9.8z-r0.apk | 2.1 MiB | 2024-10-25 20:52:35 |
viewnior-lang-1.8-r1.apk | 85.1 KiB | 2024-10-25 20:52:35 |
viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-10-25 20:52:35 |
viewnior-1.8-r1.apk | 73.6 KiB | 2024-10-25 20:52:35 |
vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-10-25 20:52:35 |
vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-10-25 20:52:35 |
vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-10-25 20:52:35 |
vice-3.8-r0.apk | 12.9 MiB | 2024-10-25 20:52:35 |
vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-10-25 20:52:33 |
vera++-1.3.0-r10.apk | 248.3 KiB | 2024-10-25 20:52:33 |
venc-pyc-3.2.4-r0.apk | 128.0 KiB | 2024-10-25 20:52:33 |
venc-3.2.4-r0.apk | 250.7 KiB | 2024-10-25 20:52:33 |
vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-10-25 20:52:33 |
vectoroids-1.1.0-r2.apk | 282.2 KiB | 2024-10-25 20:52:33 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-10-25 20:52:33 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-10-25 20:52:33 |
vcstool-pyc-0.3.0-r5.apk | 57.6 KiB | 2024-10-25 20:52:33 |
vcstool-bash-completion-0.3.0-r5.apk | 1.7 KiB | 2024-10-25 20:52:33 |
vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-10-25 20:52:33 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-10-25 20:52:33 |
vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-10-25 20:52:33 |
vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-10-25 20:52:33 |
vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-10-25 20:52:33 |
vcmi-1.6.3-r0.apk | 12.8 MiB | 2025-01-10 20:31:36 |
vcdimager-doc-2.0.1-r3.apk | 74.6 KiB | 2024-10-25 20:52:33 |
vcdimager-dev-2.0.1-r3.apk | 174.4 KiB | 2024-10-25 20:52:33 |
vcdimager-2.0.1-r3.apk | 483.3 KiB | 2024-10-25 20:52:33 |
vbindiff-doc-3.0_beta5-r1.apk | 5.4 KiB | 2024-10-25 20:52:33 |
vbindiff-3.0_beta5-r1.apk | 20.9 KiB | 2024-10-25 20:52:33 |
varnish-modules-doc-0.24.0-r0.apk | 21.3 KiB | 2024-10-25 20:52:33 |
varnish-modules-0.24.0-r0.apk | 42.4 KiB | 2024-10-25 20:52:33 |
vals-0.38.0-r0.apk | 25.6 MiB | 2024-12-14 17:26:33 |
uxplay-doc-1.71-r0.apk | 4.3 KiB | 2024-12-14 22:36:52 |
uxplay-1.71-r0.apk | 217.1 KiB | 2024-12-14 22:36:52 |
uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-10-25 20:52:30 |
uxn-1.0-r0.apk | 42.5 KiB | 2024-10-25 20:52:30 |
uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-10-25 20:52:30 |
uucp-1.07-r6.apk | 506.9 KiB | 2024-10-25 20:52:30 |
ustream-ssl-dev-20220116-r1.apk | 2.5 KiB | 2024-10-25 20:52:30 |
ustream-ssl-20220116-r1.apk | 6.7 KiB | 2024-10-25 20:52:30 |
ustr-static-1.0.4-r1.apk | 323.3 KiB | 2024-10-25 20:52:30 |
ustr-doc-1.0.4-r1.apk | 96.5 KiB | 2024-10-25 20:52:30 |
ustr-dev-1.0.4-r1.apk | 91.4 KiB | 2024-10-25 20:52:30 |
ustr-debug-1.0.4-r1.apk | 75.2 KiB | 2024-10-25 20:52:30 |
ustr-1.0.4-r1.apk | 55.8 KiB | 2024-10-25 20:52:30 |
usbmuxd-doc-1.1.1-r8.apk | 2.9 KiB | 2024-10-30 22:44:54 |
usbmuxd-1.1.1-r8.apk | 33.9 KiB | 2024-10-30 22:44:54 |
urlwatch-pyc-2.28-r2.apk | 101.2 KiB | 2024-10-25 20:52:30 |
urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-10-25 20:52:30 |
urlwatch-2.28-r2.apk | 48.7 KiB | 2024-10-25 20:52:30 |
uranium-5.2.2-r3.apk | 595.5 KiB | 2024-10-25 20:52:30 |
upterm-zsh-completion-0.14.3-r0.apk | 4.0 KiB | 2024-10-25 20:52:30 |
upterm-server-openrc-0.14.3-r0.apk | 1.9 KiB | 2024-10-25 20:52:30 |
upterm-server-0.14.3-r0.apk | 5.4 MiB | 2024-10-25 20:52:30 |
upterm-doc-0.14.3-r0.apk | 6.5 KiB | 2024-10-25 20:52:29 |
upterm-bash-completion-0.14.3-r0.apk | 5.5 KiB | 2024-10-25 20:52:29 |
upterm-0.14.3-r0.apk | 5.6 MiB | 2024-10-25 20:52:29 |
up-0.4-r24.apk | 1.1 MiB | 2024-10-25 20:52:29 |
undock-0.9.0-r0.apk | 9.5 MiB | 2025-01-03 15:52:11 |
ueberzug-pyc-18.2.3-r0.apk | 64.4 KiB | 2024-10-25 20:52:28 |
ueberzug-18.2.3-r0.apk | 64.4 KiB | 2024-10-25 20:52:28 |
uclient-fetch-20210514-r0.apk | 9.7 KiB | 2024-10-25 20:52:28 |
uclient-dev-20210514-r0.apk | 3.4 KiB | 2024-10-25 20:52:28 |
uclient-20210514-r0.apk | 12.9 KiB | 2024-10-25 20:52:28 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-10-25 20:52:28 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.1 KiB | 2024-10-25 20:52:28 |
ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-10-25 20:52:28 |
ubase-20200605-r3.apk | 44.2 KiB | 2024-10-25 20:52:28 |
u1db-qt-0.1.8-r0.apk | 96.1 KiB | 2024-10-25 20:52:28 |
typos-doc-1.23.2-r0.apk | 6.1 KiB | 2024-10-25 20:52:28 |
typos-1.23.2-r0.apk | 3.8 MiB | 2024-10-25 20:52:28 |
typlite-0.12.14-r0.apk | 12.9 MiB | 2024-12-27 01:35:49 |
twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-01-04 21:55:36 |
twinkle-1.10.3-r3.apk | 2.4 MiB | 2025-01-04 21:55:36 |
twemproxy-doc-0.5.0-r0.apk | 17.4 KiB | 2024-10-25 20:52:27 |
twemproxy-0.5.0-r0.apk | 68.5 KiB | 2024-10-25 20:52:27 |
turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-10-25 20:52:27 |
turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-10-25 20:52:27 |
turnstile-0.1.10-r3.apk | 37.5 KiB | 2024-10-25 20:52:27 |
turn-rs-openrc-3.3.3-r0.apk | 1.9 KiB | 2025-01-10 12:55:43 |
turn-rs-doc-3.3.3-r0.apk | 10.8 KiB | 2025-01-10 12:55:43 |
turn-rs-3.3.3-r0.apk | 561.5 KiB | 2025-01-10 12:55:43 |
tuptime-openrc-5.2.4-r1.apk | 1.7 KiB | 2024-11-28 22:44:52 |
tuptime-doc-5.2.4-r1.apk | 3.7 KiB | 2024-11-28 22:44:52 |
tuptime-5.2.4-r1.apk | 13.8 KiB | 2024-11-28 22:44:52 |
tup-vim-0.7.11-r0.apk | 2.6 KiB | 2024-10-25 20:52:26 |
tup-doc-0.7.11-r0.apk | 20.8 KiB | 2024-10-25 20:52:26 |
tup-0.7.11-r0.apk | 235.2 KiB | 2024-10-25 20:52:26 |
ttyper-1.5.0-r0.apk | 577.7 KiB | 2024-10-25 20:52:26 |
tty-share-2.4.0-r13.apk | 3.3 MiB | 2024-10-25 20:52:26 |
tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-10-25 20:52:26 |
tty-clock-2.3_git20240104-r0.apk | 8.2 KiB | 2024-10-25 20:52:26 |
ttfautohint-libs-1.8.4-r0.apk | 109.7 KiB | 2024-10-25 20:52:26 |
ttfautohint-gui-1.8.4-r0.apk | 57.7 KiB | 2024-10-25 20:52:26 |
ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-10-25 20:52:26 |
ttfautohint-dev-1.8.4-r0.apk | 247.3 KiB | 2024-10-25 20:52:26 |
ttfautohint-1.8.4-r0.apk | 28.4 KiB | 2024-10-25 20:52:26 |
ttdl-doc-4.7.0-r0.apk | 36.8 KiB | 2024-11-26 19:05:49 |
ttdl-4.7.0-r0.apk | 929.6 KiB | 2024-11-26 19:05:49 |
tsung-1.8.0-r2.apk | 730.2 KiB | 2024-10-25 20:52:26 |
trivy-0.58.1-r0.apk | 54.7 MiB | 2025-01-10 13:25:23 |
trigger-rally-doc-0.6.7-r2.apk | 28.4 KiB | 2024-10-25 20:52:26 |
trigger-rally-data-0.6.7-r2.apk | 352.1 MiB | 2024-10-25 20:52:26 |
trigger-rally-0.6.7-r2.apk | 304.6 KiB | 2024-10-25 20:51:48 |
tremc-zsh-completion-0.9.3-r0.apk | 1.8 KiB | 2024-10-25 20:51:48 |
tremc-doc-0.9.3-r0.apk | 2.9 KiB | 2024-10-25 20:51:48 |
tremc-bash-completion-0.9.3-r0.apk | 1.9 KiB | 2024-10-25 20:51:48 |
tremc-0.9.3-r0.apk | 48.4 KiB | 2024-10-25 20:51:48 |
tree-sitter-xml-doc-0.7.0-r0.apk | 2.2 KiB | 2024-11-14 13:06:47 |
tree-sitter-xml-0.7.0-r0.apk | 35.2 KiB | 2024-11-14 13:06:47 |
tree-sitter-vimdoc-doc-3.0.0-r2.apk | 7.3 KiB | 2025-01-10 06:09:46 |
tree-sitter-vimdoc-3.0.0-r2.apk | 30.5 KiB | 2025-01-10 06:09:46 |
tree-sitter-vim-doc-0.4.0-r0.apk | 2.7 KiB | 2025-01-10 18:54:51 |
tree-sitter-vim-0.4.0-r0.apk | 171.0 KiB | 2025-01-10 18:54:51 |
tree-sitter-ssh-client-config-2025.1.9-r0.apk | 84.8 KiB | 2025-01-10 06:39:00 |
tree-sitter-scheme-doc-0.23.0-r0.apk | 2.2 KiB | 2024-10-25 20:51:48 |
tree-sitter-scheme-0.23.0-r0.apk | 24.3 KiB | 2024-10-25 20:51:48 |
tree-sitter-ron-0.2.0-r0.apk | 31.8 KiB | 2024-10-25 20:51:48 |
tree-sitter-query-doc-0.4.0-r0.apk | 6.0 KiB | 2025-01-10 18:54:51 |
tree-sitter-query-0.4.0-r0.apk | 11.4 KiB | 2025-01-10 18:54:51 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-10-25 20:51:48 |
tree-sitter-pascal-0.9.1-r0.apk | 83.6 KiB | 2024-10-25 20:51:48 |
tree-sitter-nix-doc-0_git20230713-r0.apk | 2.2 KiB | 2024-10-25 20:51:48 |
tree-sitter-nix-0_git20230713-r0.apk | 23.8 KiB | 2024-10-25 20:51:48 |
tree-sitter-markdown-doc-0.3.2-r0.apk | 2.2 KiB | 2024-10-25 20:51:48 |
tree-sitter-markdown-0.3.2-r0.apk | 130.0 KiB | 2024-10-25 20:51:48 |
tree-sitter-make-0_git20211216-r2.apk | 43.2 KiB | 2024-10-25 20:51:48 |
tree-sitter-kotlin-0.3.8-r0.apk | 321.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-just-0_git20230318-r0.apk | 15.2 KiB | 2024-10-25 20:51:48 |
tree-sitter-hcl-1.1.0-r1.apk | 45.4 KiB | 2024-10-25 20:51:48 |
tree-sitter-haskell-0.23.1-r0.apk | 288.7 KiB | 2025-01-09 15:52:56 |
tree-sitter-hare-0_git20230616-r1.apk | 35.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-gleam-1.0.0-r0.apk | 44.4 KiB | 2024-10-25 20:51:48 |
tree-sitter-git-rebase-0_git20220110-r2.apk | 7.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-git-diff-0_git20230730-r0.apk | 10.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-git-commit-0_git20211225-r2.apk | 13.9 KiB | 2024-10-25 20:51:48 |
tree-sitter-dart-0_git20230123-r1.apk | 93.4 KiB | 2024-10-25 20:51:48 |
tree-sitter-clojure-0.0.12-r0.apk | 23.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-10-25 20:51:48 |
tree-sitter-caddy-0_git20230322-r0.apk | 76.5 KiB | 2024-10-25 20:51:48 |
tree-sitter-c-sharp-0.23.1-r0.apk | 349.0 KiB | 2024-11-13 00:51:19 |
tre-static-0.8.0-r2.apk | 50.7 KiB | 2024-10-25 20:51:48 |
tre-dev-0.8.0-r2.apk | 5.1 KiB | 2024-10-25 20:51:48 |
tre-0.8.0-r2.apk | 27.2 KiB | 2024-10-25 20:51:48 |
trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-10-25 20:51:48 |
trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-10-25 20:51:48 |
trantor-1.5.18-r0.apk | 228.2 KiB | 2024-10-25 20:51:48 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-10-25 20:51:48 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 3.2 KiB | 2024-10-25 20:51:48 |
transmission-remote-gtk-1.6.0-r0.apk | 129.7 KiB | 2024-10-25 20:51:48 |
transito-doc-0.9.1-r0.apk | 754.9 KiB | 2024-12-30 04:01:23 |
transito-0.9.1-r0.apk | 8.0 MiB | 2024-12-30 04:01:23 |
trace-cmd-doc-3.3.1-r0.apk | 171.3 KiB | 2024-11-01 17:24:09 |
trace-cmd-bash-completion-3.3.1-r0.apk | 3.4 KiB | 2024-11-01 17:24:09 |
trace-cmd-3.3.1-r0.apk | 165.6 KiB | 2024-11-01 17:24:09 |
tpp-bypass-0.8.4-r0.apk | 12.7 KiB | 2024-10-25 20:51:47 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 68.9 KiB | 2024-10-25 20:51:47 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1.9 KiB | 2024-10-25 20:51:47 |
tpm2-pkcs11-1.9.1-r0.apk | 131.4 KiB | 2024-10-25 20:51:47 |
toybox-0.8.11-r1.apk | 281.2 KiB | 2024-10-25 20:51:47 |
toss-1.1-r0.apk | 10.3 KiB | 2024-10-25 20:51:47 |
torrent-file-editor-0.3.18-r0.apk | 362.2 KiB | 2024-10-25 20:51:47 |
topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-10-25 20:51:47 |
topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-10-25 20:51:47 |
topgit-0.19.13-r1.apk | 126.6 KiB | 2024-10-25 20:51:47 |
tootik-openrc-0.14.1-r0.apk | 3.1 KiB | 2025-01-07 17:24:21 |
tootik-0.14.1-r0.apk | 3.6 MiB | 2025-01-07 17:24:21 |
today-doc-6.2.0-r0.apk | 3.3 KiB | 2024-10-25 20:51:46 |
today-6.2.0-r0.apk | 3.1 KiB | 2024-10-25 20:51:46 |
toapk-1.0-r0.apk | 10.3 KiB | 2024-10-25 20:51:46 |
tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-10-25 20:51:46 |
tnef-1.4.18-r0.apk | 25.4 KiB | 2024-10-25 20:51:46 |
tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-10-25 20:51:46 |
tncattach-0.1.9-r1.apk | 22.3 KiB | 2024-10-25 20:51:46 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-10-25 20:51:46 |
tmux-resurrect-4.0.0-r0.apk | 13.8 KiB | 2024-10-25 20:51:46 |
tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-10-25 20:51:46 |
tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-10-25 20:51:46 |
tmpl-doc-0.4.0-r6.apk | 2.2 KiB | 2024-10-25 20:51:46 |
tmpl-0.4.0-r6.apk | 2.3 MiB | 2024-10-25 20:51:46 |
tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-10-25 20:51:46 |
tmate-2.4.0-r4.apk | 282.9 KiB | 2024-10-25 20:51:46 |
tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-12-01 17:09:13 |
tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-12-01 17:09:13 |
tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-12-01 17:09:13 |
tkts-2.0-r0.apk | 16.8 KiB | 2024-10-25 20:51:46 |
tk9-doc-9.0.1-r0.apk | 1.3 MiB | 2024-12-22 06:17:16 |
tk9-dev-9.0.1-r0.apk | 82.2 KiB | 2024-12-22 06:17:16 |
tk9-9.0.1-r0.apk | 872.8 KiB | 2024-12-22 06:17:16 |
tinyscheme-1.42-r1.apk | 61.2 KiB | 2024-10-25 20:51:45 |
tinymist-0.12.14-r0.apk | 17.0 MiB | 2024-12-27 01:35:49 |
tinygltf-dev-2.9.3-r0.apk | 57.2 KiB | 2024-11-07 22:57:23 |
tinygltf-2.9.3-r0.apk | 142.1 KiB | 2024-11-07 22:57:23 |
tinycbor-dev-0.6.0-r1.apk | 8.3 KiB | 2024-10-25 20:51:45 |
tinycbor-0.6.0-r1.apk | 18.1 KiB | 2024-10-25 20:51:45 |
tiny-doc-0.13.0-r0.apk | 5.5 KiB | 2025-01-01 18:11:44 |
tiny-0.13.0-r0.apk | 574.3 KiB | 2025-01-01 18:11:44 |
tintin-2.02.31-r0.apk | 1.9 MiB | 2024-10-25 20:51:45 |
timoni-zsh-completion-0.23.0-r0.apk | 4.0 KiB | 2025-01-10 22:51:58 |
timoni-fish-completion-0.23.0-r0.apk | 4.3 KiB | 2025-01-10 22:51:58 |
timoni-doc-0.23.0-r0.apk | 337.9 KiB | 2025-01-10 22:51:58 |
timoni-bash-completion-0.23.0-r0.apk | 7.9 KiB | 2025-01-10 22:51:58 |
timoni-0.23.0-r0.apk | 22.1 MiB | 2025-01-10 22:51:58 |
timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-10-25 20:51:44 |
timewarrior-1.7.1-r0.apk | 269.8 KiB | 2024-10-25 20:51:43 |
timew-doc-1.4.3-r1.apk | 53.1 KiB | 2024-10-25 20:51:43 |
timew-bash-completion-1.4.3-r1.apk | 2.7 KiB | 2024-10-25 20:51:43 |
timew-1.4.3-r1.apk | 275.5 KiB | 2024-10-25 20:51:43 |
timeshift-lang-24.06.5-r0.apk | 916.7 KiB | 2024-12-05 20:47:23 |
timeshift-doc-24.06.5-r0.apk | 3.1 KiB | 2024-12-05 20:47:23 |
timeshift-24.06.5-r0.apk | 460.1 KiB | 2024-12-05 20:47:23 |
time-doc-1.9-r1.apk | 15.0 KiB | 2024-10-25 20:51:41 |
time-1.9-r1.apk | 11.4 KiB | 2024-10-25 20:51:41 |
ticker-zsh-completion-4.7.1-r0.apk | 3.7 KiB | 2024-12-14 18:12:35 |
ticker-fish-completion-4.7.1-r0.apk | 3.8 KiB | 2024-12-14 18:12:35 |
ticker-bash-completion-4.7.1-r0.apk | 4.5 KiB | 2024-12-14 18:12:35 |
ticker-4.7.1-r0.apk | 3.7 MiB | 2024-12-14 18:12:35 |
tick-doc-1.2.1-r0.apk | 5.5 KiB | 2024-10-25 20:51:40 |
tick-1.2.1-r0.apk | 10.8 KiB | 2024-10-25 20:51:40 |
tic-80-1.1.2837-r5.apk | 14.4 MiB | 2024-12-27 22:34:59 |
thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-10-25 20:51:38 |
thunarx-python-0.5.2-r2.apk | 9.8 KiB | 2024-10-25 20:51:38 |
thunar-gtkhash-plugin-1.5-r0.apk | 22.9 KiB | 2024-10-25 20:51:38 |
thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-10-25 20:51:38 |
throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-12-15 19:24:29 |
throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-12-15 19:24:29 |
throttled-0.10.0-r1.apk | 14.6 KiB | 2024-12-15 19:24:29 |
theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-10-25 20:51:38 |
theme.sh-1.1.5-r0.apk | 39.1 KiB | 2024-10-25 20:51:38 |
thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-10-25 20:51:38 |
thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-10-25 20:51:38 |
thelounge-4.4.3-r0.apk | 29.1 MiB | 2024-10-25 20:51:38 |
thefuck-pyc-3.32-r5.apk | 155.9 KiB | 2024-10-25 20:51:36 |
thefuck-3.32-r5.apk | 83.3 KiB | 2024-10-25 20:51:36 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-10-25 20:51:36 |
theforceengine-1.09.540-r1.apk | 6.9 MiB | 2024-10-25 20:51:35 |
thanos-openrc-0.31.0-r8.apk | 2.0 KiB | 2024-10-25 20:51:35 |
thanos-0.31.0-r8.apk | 19.8 MiB | 2024-10-25 20:51:35 |
tfupdate-doc-0.8.2-r1.apk | 2.3 KiB | 2024-10-25 20:51:33 |
tfupdate-0.8.2-r1.apk | 4.4 MiB | 2024-10-25 20:51:33 |
terminalpp-ropen-0.8.4-r0.apk | 57.8 KiB | 2024-10-25 20:51:33 |
terminalpp-0.8.4-r0.apk | 417.0 KiB | 2024-10-25 20:51:33 |
termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-10-25 20:51:33 |
termcolor-2.1.0-r0.apk | 1.4 KiB | 2024-10-25 20:51:33 |
termbox-static-1.1.2-r1.apk | 19.6 KiB | 2024-10-25 20:51:33 |
termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-10-25 20:51:33 |
termbox-1.1.2-r1.apk | 12.7 KiB | 2024-10-25 20:51:33 |
tenv-zsh-completion-3.2.4-r2.apk | 4.0 KiB | 2024-10-25 20:51:33 |
tenv-fish-completion-3.2.4-r2.apk | 4.3 KiB | 2024-10-25 20:51:33 |
tenv-bash-completion-3.2.4-r2.apk | 5.0 KiB | 2024-10-25 20:51:33 |
tenv-3.2.4-r2.apk | 8.7 MiB | 2024-10-25 20:51:33 |
templ-0.3.819-r0.apk | 4.7 MiB | 2025-01-05 02:00:26 |
telegram-tdlib-static-1.8.42-r0.apk | 26.7 MiB | 2025-01-03 19:11:53 |
telegram-tdlib-dev-1.8.42-r0.apk | 176.8 KiB | 2025-01-03 19:11:51 |
telegram-tdlib-1.8.42-r0.apk | 7.1 MiB | 2025-01-03 19:11:51 |
telegram-bot-api-8.2-r0.apk | 7.0 MiB | 2025-01-03 18:57:02 |
tealdeer-zsh-completion-1.7.1-r0.apk | 2.3 KiB | 2024-12-14 18:19:12 |
tealdeer-fish-completion-1.7.1-r0.apk | 2.2 KiB | 2024-12-14 18:19:12 |
tealdeer-bash-completion-1.7.1-r0.apk | 2.0 KiB | 2024-12-14 18:19:12 |
tealdeer-1.7.1-r0.apk | 894.4 KiB | 2024-12-14 18:19:12 |
tdrop-doc-0.5.0-r0.apk | 9.1 KiB | 2024-10-25 20:51:27 |
tdrop-0.5.0-r0.apk | 12.1 KiB | 2024-10-25 20:51:27 |
tcmu-runner-rbd-1.6.0-r6.apk | 12.6 KiB | 2024-10-25 20:51:27 |
tcmu-runner-doc-1.6.0-r6.apk | 2.5 KiB | 2024-10-25 20:51:27 |
tcmu-runner-1.6.0-r6.apk | 88.5 KiB | 2024-10-25 20:51:27 |
tcl9-doc-9.0.1-r0.apk | 1.4 MiB | 2024-12-22 06:17:15 |
tcl9-dev-9.0.1-r0.apk | 184.9 KiB | 2024-12-22 06:17:15 |
tcl9-9.0.1-r0.apk | 1.9 MiB | 2024-12-22 06:17:15 |
tcl-curl-doc-7.22.0-r0.apk | 37.6 KiB | 2024-10-25 20:51:27 |
tcl-curl-7.22.0-r0.apk | 34.4 KiB | 2024-10-25 20:51:27 |
tayga-doc-0.9.2-r0.apk | 5.5 KiB | 2024-10-25 20:51:27 |
tayga-0.9.2-r0.apk | 25.5 KiB | 2024-10-25 20:51:27 |
taskcafe-openrc-0.3.6-r8.apk | 1.8 KiB | 2024-10-25 20:51:27 |
taskcafe-0.3.6-r8.apk | 12.9 MiB | 2024-10-25 20:51:27 |
tartube-pyc-2.5.0-r1.apk | 1.1 MiB | 2024-10-25 20:51:26 |
tartube-2.5.0-r1.apk | 2.7 MiB | 2024-10-25 20:51:26 |
tanidvr-dhav2mkv-1.4.1-r1.apk | 14.8 KiB | 2024-10-25 20:51:26 |
tanidvr-1.4.1-r1.apk | 25.9 KiB | 2024-10-25 20:51:26 |
tangctl-0_git20220412-r19.apk | 2.3 MiB | 2024-10-25 20:51:26 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-10-25 20:51:25 |
tachyon-0.99_beta6-r1.apk | 109.2 KiB | 2024-10-25 20:51:25 |
tabby-doc-3.1-r1.apk | 2.2 KiB | 2024-10-25 20:51:25 |
tabby-3.1-r1.apk | 31.6 KiB | 2024-10-25 20:51:25 |
t2sz-1.1.2-r0.apk | 8.7 KiB | 2024-10-25 20:51:25 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.2 KiB | 2024-10-25 20:51:25 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-10-25 20:51:25 |
syncthing-gtk-0.9.4.5-r2.apk | 439.9 KiB | 2024-10-25 20:51:25 |
sympow-doc-2.023.7-r2.apk | 3.1 KiB | 2024-10-25 20:51:25 |
sympow-2.023.7-r2.apk | 1.8 MiB | 2024-10-25 20:51:25 |
symengine-0.12.0-r0.apk | 6.1 MiB | 2024-10-25 20:51:25 |
symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-10-25 20:51:24 |
sylpheed-imap-notify-1.1.0-r2.apk | 8.4 KiB | 2024-10-25 20:51:24 |
sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-10-25 20:51:24 |
sxcs-1.1.0-r0.apk | 8.4 KiB | 2024-10-25 20:51:24 |
swig3-doc-3.0.12-r3.apk | 3.7 KiB | 2024-10-25 20:51:24 |
swig3-3.0.12-r3.apk | 1.2 MiB | 2024-10-25 20:51:24 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-12-21 10:08:48 |
swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-12-21 10:08:48 |
swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-12-21 10:08:48 |
swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-12-21 10:08:48 |
swi-prolog-9.2.9-r0.apk | 5.0 MiB | 2024-12-21 10:08:48 |
swayhide-0.2.1-r2.apk | 270.5 KiB | 2024-10-25 20:51:23 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 10.0 KiB | 2024-10-25 20:51:23 |
swappy-lang-1.5.1-r0.apk | 3.6 KiB | 2024-10-25 20:51:23 |
swappy-doc-1.5.1-r0.apk | 3.6 KiB | 2024-10-25 20:51:23 |
swappy-1.5.1-r0.apk | 28.3 KiB | 2024-10-25 20:51:23 |
swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-10-25 20:51:23 |
swaks-20240103.0-r0.apk | 66.1 KiB | 2024-10-25 20:51:23 |
svls-doc-0.2.12-r0.apk | 2.2 KiB | 2024-10-25 20:51:23 |
svls-0.2.12-r0.apk | 3.7 MiB | 2024-10-25 20:51:23 |
suru-icon-theme-20.05.1_git20221222-r1.apk | 3.0 MiB | 2024-10-25 20:51:23 |
surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-10-25 20:51:23 |
surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-10-25 20:51:23 |
surf-doc-2.1-r3.apk | 4.6 KiB | 2024-10-25 20:51:23 |
surf-2.1-r3.apk | 21.7 KiB | 2024-10-25 20:51:23 |
supersonik-0.1.0-r1.apk | 1.1 MiB | 2024-12-22 18:36:02 |
sudo-ldap-1.9.14-r1.apk | 703.3 KiB | 2024-10-25 20:51:23 |
subliminal-pyc-2.2.1-r0.apk | 134.6 KiB | 2024-10-25 20:51:23 |
subliminal-2.2.1-r0.apk | 68.3 KiB | 2024-10-25 20:51:23 |
sublime-music-pyc-0.12.0-r1.apk | 301.9 KiB | 2024-10-25 20:51:23 |
sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-10-25 20:51:23 |
subdl-pyc-0_git20230616-r1.apk | 14.1 KiB | 2024-10-25 20:51:23 |
subdl-0_git20230616-r1.apk | 8.7 KiB | 2024-10-25 20:51:23 |
stw-doc-0.3-r0.apk | 2.5 KiB | 2024-10-25 20:51:23 |
stw-0.3-r0.apk | 7.7 KiB | 2024-10-25 20:51:23 |
strfry-openrc-1.0.3-r0.apk | 2.0 KiB | 2024-12-27 15:04:06 |
strfry-1.0.3-r0.apk | 1.7 MiB | 2024-12-27 15:04:06 |
stone-soup-0.32.1-r0.apk | 32.9 MiB | 2024-10-25 20:51:23 |
sthttpd-openrc-2.27.1-r2.apk | 1.9 KiB | 2024-10-25 20:51:20 |
sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-10-25 20:51:20 |
sthttpd-2.27.1-r2.apk | 57.0 KiB | 2024-10-25 20:51:20 |
stgit-zsh-completion-2.4.7-r1.apk | 23.6 KiB | 2024-10-25 20:51:20 |
stgit-vim-2.4.7-r1.apk | 3.5 KiB | 2024-10-25 20:51:20 |
stgit-fish-completion-2.4.7-r1.apk | 11.7 KiB | 2024-10-25 20:51:20 |
stgit-emacs-2.4.7-r1.apk | 27.6 KiB | 2024-10-25 20:51:20 |
stgit-doc-2.4.7-r1.apk | 126.2 KiB | 2024-10-25 20:51:20 |
stgit-bash-completion-2.4.7-r1.apk | 17.0 KiB | 2024-10-25 20:51:20 |
stgit-2.4.7-r1.apk | 2.0 MiB | 2024-10-25 20:51:20 |
stern-zsh-completion-1.31.0-r0.apk | 4.0 KiB | 2024-10-25 20:51:20 |
stern-fish-completion-1.31.0-r0.apk | 4.3 KiB | 2024-10-25 20:51:20 |
stern-bash-completion-1.31.0-r0.apk | 5.8 KiB | 2024-10-25 20:51:20 |
stern-1.31.0-r0.apk | 16.8 MiB | 2024-10-25 20:51:20 |
steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-10-25 20:51:19 |
steghide-0.5.1.1-r0.apk | 146.0 KiB | 2024-10-25 20:51:19 |
stayrtr-openrc-0.6.1-r0.apk | 2.0 KiB | 2024-10-25 20:51:19 |
stayrtr-0.6.1-r0.apk | 9.4 MiB | 2024-10-25 20:51:19 |
startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-10-25 20:51:18 |
startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-10-25 20:51:18 |
startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-10-25 20:51:18 |
startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-10-25 20:51:18 |
startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-10-25 20:51:18 |
startup-bridge-udev-2.0.3-r5.apk | 32.8 KiB | 2024-10-25 20:51:18 |
startup-bridge-dconf-2.0.3-r5.apk | 32.7 KiB | 2024-10-25 20:51:18 |
startup-2.0.3-r5.apk | 442.5 KiB | 2024-10-25 20:51:18 |
starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-10-25 20:51:18 |
starfighter-2.4-r0.apk | 47.9 MiB | 2024-10-25 20:51:18 |
stacker-doc-1.0.0-r0.apk | 14.7 KiB | 2024-10-25 20:51:14 |
stacker-1.0.0-r0.apk | 23.0 MiB | 2024-10-25 20:51:14 |
ssss-doc-0.5.7-r0.apk | 3.6 KiB | 2024-10-25 20:51:12 |
ssss-0.5.7-r0.apk | 13.3 KiB | 2024-10-25 20:51:12 |
sshuttle-pyc-1.1.2-r0.apk | 100.5 KiB | 2024-10-25 20:51:12 |
sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-10-25 20:51:12 |
sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-10-25 20:51:12 |
sshsrv-1.0-r7.apk | 948.9 KiB | 2024-10-25 20:51:12 |
ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-10-25 20:51:12 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2.0 KiB | 2024-10-25 20:51:12 |
ssh-honeypot-0.1.1-r1.apk | 8.6 KiB | 2024-10-25 20:51:12 |
ssh-cert-authority-2.0.0-r21.apk | 4.5 MiB | 2024-10-25 20:51:12 |
ssdfs-tools-dev-4.09-r0.apk | 18.4 KiB | 2024-10-25 20:51:12 |
ssdfs-tools-4.09-r0.apk | 90.7 KiB | 2024-10-25 20:51:12 |
srb2-data-2.2.13-r0.apk | 137.9 MiB | 2024-10-25 20:51:12 |
srb2-2.2.13-r0.apk | 1.9 MiB | 2024-10-25 20:50:59 |
srain-lang-1.8.0-r0.apk | 35.1 KiB | 2024-11-18 19:18:41 |
srain-1.8.0-r0.apk | 164.9 KiB | 2024-11-18 19:18:41 |
sqm-scripts-1.6.0-r0.apk | 20.4 KiB | 2024-10-25 20:50:59 |
sqlmap-pyc-1.8.11-r0.apk | 1.2 MiB | 2024-11-09 22:25:00 |
sqlmap-1.8.11-r0.apk | 6.8 MiB | 2024-11-09 22:25:00 |
sqliteodbc-0.99991-r0.apk | 91.5 KiB | 2024-10-25 20:50:59 |
sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-10-25 20:50:59 |
sqlar-0_git20180107-r1.apk | 11.8 KiB | 2024-10-25 20:50:59 |
sqawk-doc-0.24.0-r0.apk | 113.1 KiB | 2024-10-25 20:50:59 |
sqawk-0.24.0-r0.apk | 14.3 KiB | 2024-10-25 20:50:59 |
spvm-thread-doc-0.002-r0.apk | 5.8 KiB | 2024-11-25 08:05:25 |
spvm-thread-0.002-r0.apk | 12.4 KiB | 2024-11-25 08:05:25 |
spvm-mime-base64-doc-1.001003-r1.apk | 5.4 KiB | 2024-10-25 20:50:59 |
spvm-mime-base64-1.001003-r1.apk | 16.5 KiB | 2024-10-25 20:50:59 |
spvm-math-doc-1.001-r1.apk | 6.2 KiB | 2024-10-25 20:50:59 |
spvm-math-1.001-r1.apk | 22.7 KiB | 2024-10-25 20:50:59 |
spvm-errno-doc-0.092-r1.apk | 5.9 KiB | 2024-10-25 20:50:59 |
spvm-errno-0.092-r1.apk | 17.1 KiB | 2024-10-25 20:50:59 |
spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-10-25 20:50:59 |
spread-sheet-widget-dev-0.10-r0.apk | 690.3 KiB | 2024-10-25 20:50:59 |
spread-sheet-widget-dbg-0.10-r0.apk | 195.9 KiB | 2024-10-25 20:50:58 |
spread-sheet-widget-0.10-r0.apk | 45.4 KiB | 2024-10-25 20:50:58 |
sponskrub-3.7.2-r8.apk | 191.0 KiB | 2024-12-26 17:07:13 |
spnavcfg-1.1-r0.apk | 36.5 KiB | 2024-10-25 20:50:58 |
spiritvnc-0.6.5-r0.apk | 48.0 KiB | 2024-11-03 05:10:04 |
spiped-1.6.2-r1.apk | 68.1 KiB | 2024-10-25 20:50:58 |
spike-1.1.0-r0.apk | 1.4 MiB | 2024-10-25 20:50:58 |
spice-html5-0.3.0-r1.apk | 438.7 KiB | 2024-10-25 20:50:58 |
speedtest_exporter-openrc-0.3.2-r10.apk | 1.9 KiB | 2024-10-25 20:50:58 |
speedtest_exporter-0.3.2-r10.apk | 3.5 MiB | 2024-10-25 20:50:58 |
speedtest-go-openrc-1.1.5-r10.apk | 1.7 KiB | 2024-10-25 20:50:58 |
speedtest-go-doc-1.1.5-r10.apk | 4.5 KiB | 2024-10-25 20:50:58 |
speedtest-go-1.1.5-r10.apk | 5.0 MiB | 2024-10-25 20:50:58 |
speedtest-examples-5.2.5-r1.apk | 12.9 KiB | 2024-10-25 20:50:58 |
speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-10-25 20:50:58 |
speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-10-25 20:50:58 |
spark-2.8.3-r1.apk | 28.9 MiB | 2024-10-25 20:50:58 |
spampd-openrc-2.61-r1.apk | 2.1 KiB | 2024-10-25 20:50:55 |
spampd-2.61-r1.apk | 38.5 KiB | 2024-10-25 20:50:55 |
spacenavd-1.2-r0.apk | 32.8 KiB | 2024-10-25 20:50:55 |
spacectl-zsh-completion-1.0.0-r1.apk | 1.8 KiB | 2024-10-25 20:50:55 |
spacectl-fish-completion-1.0.0-r1.apk | 6.1 KiB | 2024-10-25 20:50:55 |
spacectl-doc-1.0.0-r1.apk | 2.3 KiB | 2024-10-25 20:50:55 |
spacectl-bash-completion-1.0.0-r1.apk | 2.0 KiB | 2024-10-25 20:50:55 |
spacectl-1.0.0-r1.apk | 4.9 MiB | 2024-10-25 20:50:55 |
soundfont-vintage-dreams-waves-doc-2.1-r2.apk | 2.2 KiB | 2024-10-25 20:50:55 |
soundfont-vintage-dreams-waves-2.1-r2.apk | 90.8 KiB | 2024-10-25 20:50:55 |
soundconverter-pyc-4.0.6-r0.apk | 74.8 KiB | 2024-11-12 15:33:28 |
soundconverter-lang-4.0.6-r0.apk | 106.8 KiB | 2024-11-12 15:33:28 |
soundconverter-doc-4.0.6-r0.apk | 4.5 KiB | 2024-11-12 15:33:28 |
soundconverter-4.0.6-r0.apk | 164.7 KiB | 2024-11-12 15:33:28 |
sos-0.8-r26.apk | 2.4 MiB | 2024-10-25 20:50:55 |
soqt-doc-1.6.3-r0.apk | 881.2 KiB | 2024-12-13 20:44:30 |
soqt-dev-1.6.3-r0.apk | 83.9 KiB | 2024-12-13 20:44:30 |
soqt-1.6.3-r0.apk | 232.8 KiB | 2024-12-13 20:44:30 |
sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-10-25 20:50:54 |
sopwith-2.5.0-r0.apk | 50.0 KiB | 2024-10-25 20:50:54 |
sonar-scanner-6.2.1.4610-r0.apk | 10.7 MiB | 2024-12-20 22:07:47 |
somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-10-25 20:50:54 |
somebar-1.0.3-r0.apk | 51.9 KiB | 2024-10-25 20:50:54 |
solanum-lang-5.0.0-r0.apk | 40.3 KiB | 2024-10-25 20:50:54 |
solanum-5.0.0-r0.apk | 252.3 KiB | 2024-10-25 20:50:54 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.7 KiB | 2024-10-25 20:50:54 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.4 KiB | 2024-10-25 20:50:54 |
soapy-sdr-remote-0.5.2-r1.apk | 192.5 KiB | 2024-10-25 20:50:54 |
soapy-hackrf-0.3.4-r2.apk | 28.3 KiB | 2024-10-25 20:50:54 |
soapy-bladerf-0.4.2-r0.apk | 48.4 KiB | 2024-12-31 01:11:55 |
so-0.4.10-r0.apk | 2.2 MiB | 2024-10-25 20:50:54 |
snore-doc-0.3.1-r0.apk | 3.0 KiB | 2024-10-25 20:50:53 |
snore-0.3.1-r0.apk | 4.4 KiB | 2024-10-25 20:50:53 |
snippets-ls-0.0.4_git20240617-r0.apk | 1.3 MiB | 2024-11-22 22:05:40 |
sndfile-tools-doc-1.5-r1.apk | 361.0 KiB | 2024-10-25 20:50:53 |
sndfile-tools-1.5-r1.apk | 40.8 KiB | 2024-10-25 20:50:53 |
snapraid-doc-12.3-r0.apk | 17.3 KiB | 2024-10-25 20:50:53 |
snapraid-12.3-r0.apk | 261.2 KiB | 2024-10-25 20:50:53 |
snapper-zsh-completion-0.12.0-r0.apk | 3.5 KiB | 2024-11-18 18:30:50 |
snapper-lang-0.12.0-r0.apk | 180.2 KiB | 2024-11-18 18:30:50 |
snapper-doc-0.12.0-r0.apk | 24.8 KiB | 2024-11-18 18:30:50 |
snapper-dev-0.12.0-r0.apk | 10.3 KiB | 2024-11-18 18:30:50 |
snapper-bash-completion-0.12.0-r0.apk | 3.0 KiB | 2024-11-18 18:30:50 |
snapper-0.12.0-r0.apk | 981.1 KiB | 2024-11-18 18:30:50 |
smplxmpp-doc-0.9.3-r4.apk | 24.8 KiB | 2024-12-18 12:18:30 |
smplxmpp-0.9.3-r4.apk | 153.1 KiB | 2024-12-18 12:18:30 |
smile-lang-2.9.5-r0.apk | 23.7 KiB | 2024-10-25 20:50:53 |
smile-2.9.5-r0.apk | 693.7 KiB | 2024-10-25 20:50:53 |
smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-11-21 02:56:58 |
smassh-3.1.6-r0.apk | 72.4 KiB | 2024-11-21 02:56:58 |
slurm-doc-0.4.4-r0.apk | 2.5 KiB | 2024-10-25 20:50:53 |
slurm-0.4.4-r0.apk | 14.2 KiB | 2024-10-25 20:50:53 |
sloccount-doc-2.26-r3.apk | 59.6 KiB | 2024-10-25 20:50:53 |
sloccount-2.26-r3.apk | 65.0 KiB | 2024-10-25 20:50:53 |
slidge-pyc-0.1.3-r0.apk | 284.7 KiB | 2024-10-25 20:50:53 |
slidge-openrc-0.1.3-r0.apk | 2.3 KiB | 2024-10-25 20:50:53 |
slidge-doc-0.1.3-r0.apk | 4.5 KiB | 2024-10-25 20:50:53 |
slidge-0.1.3-r0.apk | 144.6 KiB | 2024-10-25 20:50:53 |
sish-openrc-2.16.0-r2.apk | 1.9 KiB | 2024-10-25 20:50:53 |
sish-2.16.0-r2.apk | 7.4 MiB | 2024-10-25 20:50:53 |
sipgrep-2.2.0-r1.apk | 25.8 KiB | 2024-10-25 20:50:52 |
sipexer-1.1.0-r8.apk | 2.5 MiB | 2024-10-25 20:50:52 |
singular-static-4.4.0-r0.apk | 10.5 MiB | 2024-10-25 20:50:52 |
singular-emacs-4.4.0-r0.apk | 101.1 KiB | 2024-10-25 20:50:51 |
singular-doc-4.4.0-r0.apk | 1.3 MiB | 2024-10-25 20:50:51 |
singular-dev-4.4.0-r0.apk | 371.3 KiB | 2024-10-25 20:50:51 |
singular-4.4.0-r0.apk | 10.7 MiB | 2024-10-25 20:50:51 |
sing-geosite-20241221105938-r0.apk | 1.1 MiB | 2024-12-23 04:08:54 |
sing-geoip-20241212-r0.apk | 1.6 MiB | 2024-12-23 04:08:54 |
sing-box-zsh-completion-1.10.5-r0.apk | 4.0 KiB | 2024-12-23 04:08:54 |
sing-box-openrc-1.10.5-r0.apk | 2.0 KiB | 2024-12-23 04:08:54 |
sing-box-fish-completion-1.10.5-r0.apk | 4.3 KiB | 2024-12-23 04:08:54 |
sing-box-bash-completion-1.10.5-r0.apk | 5.1 KiB | 2024-12-23 04:08:54 |
sing-box-1.10.5-r0.apk | 10.9 MiB | 2024-12-23 04:08:54 |
simpleble-dev-0.6.1-r2.apk | 19.2 KiB | 2024-12-14 21:14:21 |
simpleble-0.6.1-r2.apk | 1.2 KiB | 2024-12-14 21:14:21 |
simp1e-cursors-solarized-0_git20211003-r0.apk | 1.5 KiB | 2024-10-25 20:50:48 |
simp1e-cursors-snow-0_git20211003-r0.apk | 1.5 KiB | 2024-10-25 20:50:48 |
simp1e-cursors-dark-0_git20211003-r0.apk | 1.5 KiB | 2024-10-25 20:50:48 |
simp1e-cursors-breeze-0_git20211003-r0.apk | 1.5 KiB | 2024-10-25 20:50:48 |
simp1e-cursors-0_git20211003-r0.apk | 1.5 KiB | 2024-10-25 20:50:48 |
simh-3.11.1-r1.apk | 3.4 MiB | 2024-10-25 20:50:48 |
simgear-dev-2020.3.19-r1.apk | 394.2 KiB | 2024-10-25 20:50:48 |
simgear-2020.3.19-r1.apk | 1.8 MiB | 2024-10-25 20:50:48 |
silc-client-doc-1.1.11-r17.apk | 82.8 KiB | 2024-10-25 20:50:47 |
silc-client-1.1.11-r17.apk | 894.3 KiB | 2024-10-25 20:50:47 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.3 KiB | 2024-10-25 20:50:47 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-10-25 20:50:47 |
sigrok-cli-0.7.2-r0.apk | 38.2 KiB | 2024-10-25 20:50:47 |
sigma-pyc-0.23.1-r1.apk | 339.8 KiB | 2024-10-25 20:50:47 |
sigma-0.23.1-r1.apk | 236.7 KiB | 2024-10-25 20:50:47 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-10-25 20:50:47 |
shntool-doc-3.0.10-r4.apk | 10.3 KiB | 2024-10-25 20:50:47 |
shntool-3.0.10-r4.apk | 58.6 KiB | 2024-10-25 20:50:47 |
shipments-0.3.0-r0.apk | 23.7 KiB | 2024-10-25 20:50:47 |
shine-3.1.1-r0.apk | 69.2 KiB | 2024-10-25 20:50:47 |
shfm-doc-0.4.2-r1.apk | 6.1 KiB | 2024-10-25 20:50:47 |
shfm-0.4.2-r1.apk | 4.0 KiB | 2024-10-25 20:50:47 |
shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-10-25 20:50:47 |
shellinabox-doc-2.21-r3.apk | 19.3 KiB | 2024-10-25 20:50:47 |
shellinabox-2.21-r3.apk | 118.5 KiB | 2024-10-25 20:50:47 |
shc-4.0.3-r2.apk | 20.1 KiB | 2024-10-25 20:50:47 |
shadowsocks-libev-doc-3.3.5-r4.apk | 28.0 KiB | 2024-10-25 20:50:47 |
shadowsocks-libev-dev-3.3.5-r4.apk | 3.4 KiB | 2024-10-25 20:50:47 |
shadowsocks-libev-3.3.5-r4.apk | 225.5 KiB | 2024-10-25 20:50:47 |
sgt-puzzles-0_git20230310-r2.apk | 3.0 MiB | 2024-10-25 20:50:47 |
sfwbar-doc-1.0_beta16-r1.apk | 26.5 KiB | 2024-12-12 19:02:45 |
sfwbar-1.0_beta16-r1.apk | 284.5 KiB | 2024-12-12 19:02:45 |
sflowtool-doc-6.02-r0.apk | 9.3 KiB | 2024-10-25 20:50:47 |
sflowtool-6.02-r0.apk | 43.1 KiB | 2024-10-25 20:50:47 |
setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-10-25 20:50:47 |
setroot-2.0.2-r1.apk | 11.9 KiB | 2024-10-25 20:50:47 |
serialdv-libs-1.1.4-r0.apk | 66.9 KiB | 2024-10-25 20:50:47 |
serialdv-dev-1.1.4-r0.apk | 5.4 KiB | 2024-10-25 20:50:47 |
serialdv-1.1.4-r0.apk | 6.7 KiB | 2024-10-25 20:50:47 |
sentrypeer-doc-3.0.2-r0.apk | 3.3 KiB | 2024-10-25 20:50:47 |
sentrypeer-3.0.2-r0.apk | 23.8 KiB | 2024-10-25 20:50:47 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2.3 KiB | 2024-10-25 20:50:47 |
sentinel-proxy-dev-2.1.0-r0.apk | 5.0 KiB | 2024-10-25 20:50:47 |
sentinel-proxy-2.1.0-r0.apk | 42.7 KiB | 2024-10-25 20:50:47 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-10-25 20:50:47 |
sentinel-minipot-2.3.0-r1.apk | 42.6 KiB | 2024-10-25 20:50:47 |
seed7-vim-05.20240322-r0.apk | 4.0 KiB | 2024-10-25 20:50:47 |
seed7-nano-05.20240322-r0.apk | 2.5 KiB | 2024-10-25 20:50:47 |
seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-10-25 20:50:47 |
seed7-05.20240322-r0.apk | 10.5 MiB | 2024-10-25 20:50:47 |
sedutil-doc-1.15.1-r1.apk | 3.0 KiB | 2024-10-25 20:50:46 |
sedutil-1.15.1-r1.apk | 203.2 KiB | 2024-10-25 20:50:46 |
secsipidx-libs-1.3.2-r7.apk | 2.1 MiB | 2024-10-25 20:50:46 |
secsipidx-dev-1.3.2-r7.apk | 5.1 MiB | 2024-10-25 20:50:46 |
secsipidx-1.3.2-r7.apk | 2.4 MiB | 2024-10-25 20:50:45 |
sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-10-25 20:50:45 |
sdparm-1.12-r1.apk | 145.6 KiB | 2024-10-25 20:50:45 |
sdl3-doc-3.1.6-r0.apk | 2.1 KiB | 2024-11-02 20:34:45 |
sdl3-dev-3.1.6-r0.apk | 35.8 MiB | 2024-11-02 20:34:45 |
sdl3-dbg-3.1.6-r0.apk | 3.9 MiB | 2024-11-02 20:34:43 |
sdl3-3.1.6-r0.apk | 818.4 KiB | 2024-11-02 20:34:43 |
sct-2018.12.18-r1.apk | 4.0 KiB | 2024-10-25 20:50:42 |
scrypt-doc-1.3.2-r0.apk | 4.3 KiB | 2024-10-25 20:50:42 |
scrypt-1.3.2-r0.apk | 25.8 KiB | 2024-10-25 20:50:42 |
screenkey-pyc-1.5-r6.apk | 73.3 KiB | 2024-10-25 20:50:42 |
screenkey-doc-1.5-r6.apk | 11.0 KiB | 2024-10-25 20:50:42 |
screenkey-1.5-r6.apk | 77.0 KiB | 2024-10-25 20:50:42 |
scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-10-25 20:50:42 |
scooper-1.3-r1.apk | 484.9 KiB | 2024-10-25 20:50:42 |
sciteco-gtk-2.3.0-r0.apk | 1.6 MiB | 2024-12-29 08:46:02 |
sciteco-doc-2.3.0-r0.apk | 110.6 KiB | 2024-12-29 08:46:02 |
sciteco-common-2.3.0-r0.apk | 149.8 KiB | 2024-12-29 08:46:02 |
sciteco-2.3.0-r0.apk | 1.4 MiB | 2024-12-29 08:46:02 |
schismtracker-doc-20231029-r0.apk | 6.2 KiB | 2024-10-25 20:50:42 |
schismtracker-20231029-r0.apk | 394.5 KiB | 2024-10-25 20:50:42 |
scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-10-25 20:50:42 |
scap-workbench-1.2.1-r3.apk | 229.5 KiB | 2024-10-25 20:50:42 |
scalingo-1.30.0-r5.apk | 5.0 MiB | 2024-10-25 20:50:42 |
sc-im-doc-0.8.4-r0.apk | 4.7 KiB | 2024-10-25 20:50:40 |
sc-im-0.8.4-r0.apk | 167.5 KiB | 2024-10-25 20:50:40 |
sc-controller-pyc-0.4.8.13-r1.apk | 871.8 KiB | 2024-10-25 20:50:40 |
sc-controller-0.4.8.13-r1.apk | 1.9 MiB | 2024-10-25 20:50:40 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-10-25 20:50:40 |
sblim-wbemcli-1.6.3-r1.apk | 100.5 KiB | 2024-10-25 20:50:40 |
sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-10-25 20:50:40 |
sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-10-25 20:50:40 |
sblim-sfcc-2.2.8-r3.apk | 55.4 KiB | 2024-10-25 20:50:40 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-10-25 20:50:40 |
sblg-0.5.11-r0.apk | 39.0 KiB | 2024-10-25 20:50:40 |
sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-10-25 20:50:40 |
sbase-0_git20210730-r3.apk | 121.6 KiB | 2024-10-25 20:50:40 |
sauerbraten-2020.12.29-r3.apk | 934.2 MiB | 2024-10-25 20:50:40 |
satellite-openrc-1.0.0-r23.apk | 1.9 KiB | 2024-10-25 20:49:13 |
satellite-doc-1.0.0-r23.apk | 3.0 KiB | 2024-10-25 20:49:13 |
satellite-1.0.0-r23.apk | 1.9 MiB | 2024-10-25 20:49:13 |
sane-airscan-doc-0.99.29-r0.apk | 5.7 KiB | 2024-10-25 20:49:13 |
sane-airscan-0.99.29-r0.apk | 199.6 KiB | 2024-10-25 20:49:13 |
sandbar-0.1-r0.apk | 15.8 KiB | 2024-10-25 20:49:13 |
sacc-doc-1.07-r0.apk | 2.8 KiB | 2024-10-25 20:49:13 |
sacc-1.07-r0.apk | 15.6 KiB | 2024-10-25 20:49:13 |
saait-doc-0.8-r0.apk | 12.7 KiB | 2024-10-25 20:49:13 |
saait-0.8-r0.apk | 7.1 KiB | 2024-10-25 20:49:13 |
s5cmd-2.2.2-r5.apk | 4.6 MiB | 2024-10-25 20:49:13 |
s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-10-25 20:49:13 |
s-postgray-0.8.3-r0.apk | 47.1 KiB | 2024-10-25 20:49:13 |
s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-10-25 20:49:13 |
s-dkim-sign-0.6.2-r0.apk | 58.4 KiB | 2024-10-25 20:49:13 |
rygel-lang-0.44.1-r0.apk | 567.2 KiB | 2024-12-01 13:01:55 |
rygel-doc-0.44.1-r0.apk | 9.6 KiB | 2024-12-01 13:01:55 |
rygel-dev-0.44.1-r0.apk | 42.6 KiB | 2024-12-01 13:01:55 |
rygel-0.44.1-r0.apk | 773.8 KiB | 2024-12-01 13:01:55 |
ry-zsh-completion-0.5.2-r1.apk | 2.2 KiB | 2024-10-25 20:49:13 |
ry-bash-completion-0.5.2-r1.apk | 1.9 KiB | 2024-10-25 20:49:13 |
ry-0.5.2-r1.apk | 4.6 KiB | 2024-10-25 20:49:13 |
rvlprog-0.91-r2.apk | 27.0 KiB | 2024-10-25 20:49:13 |
ruuvi-prometheus-openrc-0.1.7-r5.apk | 1.7 KiB | 2024-10-25 20:49:13 |
ruuvi-prometheus-0.1.7-r5.apk | 3.3 MiB | 2024-10-25 20:49:13 |
rustscan-2.3.0-r0.apk | 1.3 MiB | 2024-10-25 20:49:13 |
ruff-lsp-pyc-0.0.53-r0.apk | 34.6 KiB | 2024-10-25 20:49:13 |
ruff-lsp-0.0.53-r0.apk | 21.3 KiB | 2024-10-25 20:49:13 |
ruby-yard-doc-0.9.37-r0.apk | 48.4 KiB | 2024-10-25 20:49:13 |
ruby-yard-0.9.37-r0.apk | 595.6 KiB | 2024-10-25 20:49:13 |
ruby-syslog-0.2.0-r0.apk | 8.7 KiB | 2024-12-14 21:14:21 |
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk | 2.2 KiB | 2024-10-25 20:49:13 |
ruby-simplecov_json_formatter-0.1.4-r0.apk | 3.5 KiB | 2024-10-25 20:49:13 |
ruby-simplecov-html-doc-0.13.1-r0.apk | 2.2 KiB | 2024-10-25 20:49:13 |
ruby-simplecov-html-0.13.1-r0.apk | 4.4 KiB | 2024-10-25 20:49:13 |
ruby-simplecov-doc-0.22.0-r0.apk | 4.1 KiB | 2024-10-25 20:49:13 |
ruby-simplecov-cobertura-2.1.0-r0.apk | 2.3 KiB | 2024-10-25 20:49:13 |
ruby-simplecov-0.22.0-r0.apk | 30.8 KiB | 2024-10-25 20:49:13 |
ruby-rainbow-3.1.1-r0.apk | 8.2 KiB | 2024-10-25 20:49:13 |
ruby-notify-doc-0.5.2-r0.apk | 2.2 KiB | 2024-10-25 20:49:13 |
ruby-notify-0.5.2-r0.apk | 6.1 KiB | 2024-10-25 20:49:13 |
ruby-docile-doc-1.4.1-r0.apk | 2.2 KiB | 2024-10-25 20:49:13 |
ruby-docile-1.4.1-r0.apk | 6.0 KiB | 2024-10-25 20:49:13 |
ruby-build-runtime-20241225.2-r0.apk | 1.2 KiB | 2025-01-09 06:30:14 |
ruby-build-doc-20241225.2-r0.apk | 4.7 KiB | 2025-01-09 06:30:14 |
ruby-build-20241225.2-r0.apk | 88.3 KiB | 2025-01-09 06:30:14 |
ruby-base64-0.2.0-r0.apk | 5.2 KiB | 2024-10-25 20:49:13 |
rtw89-src-7_p20230725-r0.apk | 758.7 KiB | 2024-10-25 20:49:13 |
rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-10-25 20:49:13 |
rtptools-1.22-r2.apk | 33.1 KiB | 2024-10-25 20:49:13 |
rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-10-25 20:49:13 |
rtmidi-6.0.0-r0.apk | 30.1 KiB | 2024-10-25 20:49:12 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-10-25 20:49:12 |
rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-10-25 20:49:12 |
rtl-power-fftw-20200601-r4.apk | 60.0 KiB | 2024-10-25 20:49:12 |
rsstail-doc-2.1-r1.apk | 2.8 KiB | 2024-10-25 20:49:12 |
rsstail-2.1-r1.apk | 7.5 KiB | 2024-10-25 20:49:12 |
rpi-imager-doc-1.9.0-r0.apk | 3.1 KiB | 2024-10-25 20:49:12 |
rpi-imager-1.9.0-r0.apk | 697.7 KiB | 2024-10-25 20:49:12 |
rpg-cli-1.2.0-r0.apk | 594.3 KiB | 2024-10-25 20:49:12 |
roswell-doc-24.10.115-r0.apk | 18.2 KiB | 2024-10-25 20:49:12 |
roswell-24.10.115-r0.apk | 110.4 KiB | 2024-10-25 20:49:12 |
rosdep-pyc-0.19.0-r6.apk | 119.2 KiB | 2024-10-25 20:49:12 |
rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-10-25 20:49:12 |
rook-getattr-0.2.0-r1.apk | 2.6 KiB | 2024-12-25 19:27:23 |
rook-doc-0.2.0-r1.apk | 23.3 KiB | 2024-12-25 19:27:23 |
rook-autotype-0.2.0-r1.apk | 3.7 KiB | 2024-12-25 19:27:23 |
rook-0.2.0-r1.apk | 1.8 MiB | 2024-12-25 19:27:23 |
rofi-pass-doc-2.0.2-r2.apk | 5.2 KiB | 2024-10-25 20:49:12 |
rofi-pass-2.0.2-r2.apk | 9.1 KiB | 2024-10-25 20:49:12 |
rofi-json-menu-0.2.0-r1.apk | 5.4 KiB | 2024-10-25 20:49:12 |
rofi-blocks-0.1.0-r0.apk | 12.0 KiB | 2024-10-25 20:49:12 |
rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-10-25 20:49:12 |
rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-10-25 20:49:12 |
rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-10-25 20:49:12 |
rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-10-25 20:49:12 |
rmlint-2.10.2-r2.apk | 141.7 KiB | 2024-10-25 20:49:12 |
rke-doc-1.4.3-r10.apk | 3.0 KiB | 2024-10-25 20:49:12 |
rke-1.4.3-r10.apk | 18.7 MiB | 2024-10-25 20:49:12 |
rkdeveloptool-doc-1.1.0-r1.apk | 3.0 KiB | 2024-10-25 20:49:11 |
rkdeveloptool-1.1.0-r1.apk | 56.5 KiB | 2024-10-25 20:49:11 |
rizin-libs-0.6.3-r1.apk | 4.4 MiB | 2024-10-25 20:49:11 |
rizin-doc-0.6.3-r1.apk | 17.6 KiB | 2024-10-25 20:49:10 |
rizin-dev-0.6.3-r1.apk | 306.1 KiB | 2024-10-25 20:49:10 |
rizin-cutter-dev-2.3.2-r2.apk | 107.9 KiB | 2024-10-25 20:49:10 |
rizin-cutter-2.3.2-r2.apk | 2.1 MiB | 2024-10-25 20:49:10 |
rizin-0.6.3-r1.apk | 2.7 MiB | 2024-10-25 20:49:10 |
river-shifttags-doc-0.2.1-r0.apk | 2.3 KiB | 2024-10-25 20:49:10 |
river-shifttags-0.2.1-r0.apk | 6.5 KiB | 2024-10-25 20:49:10 |
rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-10-25 20:49:10 |
rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-10-25 20:49:10 |
rinetd-0.73-r0.apk | 14.6 KiB | 2024-10-25 20:49:10 |
rime-ls-0.4.1-r0.apk | 1.1 MiB | 2024-12-25 15:44:15 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.5 KiB | 2024-10-25 20:49:10 |
rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-10-25 20:49:10 |
rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-10-25 20:49:10 |
rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-10-25 20:49:10 |
rgxg-0.1.2-r2.apk | 13.8 KiB | 2024-10-25 20:49:10 |
restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-12-14 21:14:21 |
restinio-0.6.19-r1.apk | 1.2 KiB | 2024-12-14 21:14:21 |
restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-10-25 20:49:10 |
restart-services-doc-0.17.0-r0.apk | 6.1 KiB | 2024-10-25 20:49:10 |
restart-services-0.17.0-r0.apk | 11.7 KiB | 2024-10-25 20:49:10 |
responder-3.1.5.0-r0.apk | 750.0 KiB | 2024-10-25 20:49:10 |
reprotest-pyc-0.7.29-r0.apk | 102.9 KiB | 2024-12-23 04:07:21 |
reprotest-0.7.29-r0.apk | 80.2 KiB | 2024-12-23 04:07:21 |
repowerd-openrc-2023.07-r2.apk | 1.7 KiB | 2024-10-25 20:49:10 |
repowerd-2023.07-r2.apk | 882.7 KiB | 2024-10-25 20:49:10 |
repology-cli-doc-1.7.3-r0.apk | 4.4 KiB | 2024-12-30 04:52:53 |
repology-cli-1.7.3-r0.apk | 136.0 KiB | 2024-12-30 04:52:53 |
repo-doc-2.50.1-r0.apk | 38.5 KiB | 2024-12-20 22:07:47 |
repo-2.50.1-r0.apk | 16.8 KiB | 2024-12-20 22:07:47 |
remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-10-25 20:49:10 |
remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-10-25 20:49:10 |
remake-make-1.5-r1.apk | 1.5 KiB | 2024-10-25 20:49:10 |
remake-doc-1.5-r1.apk | 201.6 KiB | 2024-10-25 20:49:10 |
remake-dev-1.5-r1.apk | 2.9 KiB | 2024-10-25 20:49:10 |
remake-1.5-r1.apk | 140.4 KiB | 2024-10-25 20:49:10 |
regal-zsh-completion-0.29.2-r0.apk | 4.0 KiB | 2024-12-14 21:14:21 |
regal-fish-completion-0.29.2-r0.apk | 4.3 KiB | 2024-12-14 21:14:21 |
regal-bash-completion-0.29.2-r0.apk | 5.0 KiB | 2024-12-14 21:14:21 |
regal-0.29.2-r0.apk | 9.3 MiB | 2024-12-14 21:14:21 |
reg-0.16.1-r23.apk | 4.2 MiB | 2024-10-25 20:49:09 |
refine-0.3.0-r0.apk | 23.9 KiB | 2025-01-10 19:57:34 |
recoll-doc-1.37.5-r1.apk | 21.1 KiB | 2024-10-25 20:49:09 |
recoll-dev-1.37.5-r1.apk | 53.0 KiB | 2024-10-25 20:49:09 |
recoll-1.37.5-r1.apk | 2.7 MiB | 2024-10-25 20:49:09 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 439.5 KiB | 2024-10-25 20:49:09 |
readosm-dev-1.1.0-r2.apk | 30.6 KiB | 2024-10-25 20:49:09 |
readosm-1.1.0-r2.apk | 15.0 KiB | 2024-10-25 20:49:09 |
reaction-tools-1.4.1-r1.apk | 47.7 KiB | 2024-10-25 20:49:09 |
reaction-openrc-1.4.1-r1.apk | 1.8 KiB | 2024-10-25 20:49:09 |
reaction-1.4.1-r1.apk | 2.2 MiB | 2024-10-25 20:49:09 |
rdrview-doc-0.1.2-r0.apk | 3.7 KiB | 2024-10-25 20:49:09 |
rdrview-0.1.2-r0.apk | 29.3 KiB | 2024-10-25 20:49:09 |
rcon-cli-1.6.2-r6.apk | 2.4 MiB | 2024-10-25 20:49:09 |
razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-10-25 20:49:08 |
razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-10-25 20:49:08 |
razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-10-25 20:49:08 |
razercfg-0.42-r7.apk | 80.3 KiB | 2024-10-25 20:49:08 |
rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-10-25 20:49:08 |
rauc-doc-1.10.1-r0.apk | 4.1 KiB | 2024-10-25 20:49:08 |
rauc-1.10.1-r0.apk | 143.1 KiB | 2024-10-25 20:49:08 |
raspberrypi-usbboot-20210701-r3.apk | 1.5 MiB | 2024-10-25 20:49:08 |
rapidfuzz-3.2.0-r0.apk | 62.1 KiB | 2024-12-20 05:38:21 |
rankwidth-static-0.9-r3.apk | 6.3 KiB | 2024-10-25 20:49:08 |
rankwidth-libs-0.9-r3.apk | 5.0 KiB | 2024-10-25 20:49:08 |
rankwidth-doc-0.9-r3.apk | 3.0 KiB | 2024-10-25 20:49:08 |
rankwidth-dev-0.9-r3.apk | 2.9 KiB | 2024-10-25 20:49:08 |
rankwidth-0.9-r3.apk | 5.4 KiB | 2024-10-25 20:49:08 |
randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-11-17 21:22:15 |
randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-11-17 21:22:15 |
quodlibet-zsh-completion-4.6.0-r1.apk | 2.7 KiB | 2024-10-25 20:49:08 |
quodlibet-pyc-4.6.0-r1.apk | 1.8 MiB | 2024-10-25 20:49:08 |
quodlibet-lang-4.6.0-r1.apk | 1.2 MiB | 2024-10-25 20:49:08 |
quodlibet-doc-4.6.0-r1.apk | 8.7 KiB | 2024-10-25 20:49:08 |
quodlibet-bash-completion-4.6.0-r1.apk | 4.7 KiB | 2024-10-25 20:49:08 |
quodlibet-4.6.0-r1.apk | 1.1 MiB | 2024-10-25 20:49:08 |
queercat-1.0.0-r0.apk | 7.4 KiB | 2024-10-25 20:49:08 |
qucs-s-lang-1.1.0-r1.apk | 864.5 KiB | 2024-10-25 20:49:08 |
qucs-s-doc-1.1.0-r1.apk | 2.3 KiB | 2024-10-25 20:49:08 |
qucs-s-1.1.0-r1.apk | 3.4 MiB | 2024-10-25 20:49:08 |
quakespasm-0.96.3-r0.apk | 502.4 KiB | 2024-10-25 20:49:07 |
qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-10-25 20:49:07 |
qtpass-1.4.0-r0.apk | 417.6 KiB | 2024-10-25 20:49:07 |
qtox-1.17.6-r6.apk | 5.0 MiB | 2024-10-25 20:49:07 |
qtile-pyc-0.23.0-r2.apk | 723.5 KiB | 2024-10-25 20:49:07 |
qtile-0.23.0-r2.apk | 381.8 KiB | 2024-10-25 20:49:07 |
qt6ct-0.9-r3.apk | 193.8 KiB | 2024-12-09 19:47:41 |
qt5ct-dev-1.8-r0.apk | 1.5 KiB | 2024-10-25 20:49:07 |
qt5ct-1.8-r0.apk | 225.9 KiB | 2024-10-25 20:49:07 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-10-25 20:49:07 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 13.8 KiB | 2024-10-25 20:49:07 |
qt-jdenticon-doc-0.3.1-r0.apk | 2.2 KiB | 2024-10-25 20:49:07 |
qt-jdenticon-0.3.1-r0.apk | 27.3 KiB | 2024-10-25 20:49:07 |
qsynth-doc-1.0.2-r0.apk | 4.4 KiB | 2024-10-25 20:49:07 |
qsynth-1.0.2-r0.apk | 425.4 KiB | 2024-10-25 20:49:07 |
qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-11-24 03:04:33 |
qstardict-2.0.2-r1.apk | 449.1 KiB | 2024-11-24 03:04:33 |
qsstv-9.5.8-r2.apk | 994.8 KiB | 2024-10-25 20:49:07 |
qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.3 KiB | 2024-10-25 20:49:07 |
qspectrumanalyzer-2.2.0-r5.apk | 53.8 KiB | 2024-10-25 20:49:07 |
qqc2-suru-style-0.20230206-r1.apk | 173.7 KiB | 2024-10-25 20:49:07 |
qperf-doc-0.4.11-r1.apk | 5.5 KiB | 2024-10-25 20:49:07 |
qperf-0.4.11-r1.apk | 36.9 KiB | 2024-10-25 20:49:07 |
qpdfview-doc-0.5-r1.apk | 4.3 KiB | 2024-10-25 20:49:07 |
qpdfview-0.5-r1.apk | 1017.5 KiB | 2024-10-25 20:49:07 |
qownnotes-lang-23.6.6-r0.apk | 4.4 MiB | 2024-10-25 20:49:07 |
qownnotes-23.6.6-r0.apk | 2.3 MiB | 2024-10-25 20:49:06 |
qoiconv-0.0.0_git20230312-r0.apk | 29.7 KiB | 2024-10-25 20:49:06 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-10-25 20:49:06 |
qoi-0.0.0_git20230312-r0.apk | 1.4 KiB | 2024-10-25 20:49:06 |
qml-box2d-0_git20180406-r0.apk | 142.9 KiB | 2024-10-25 20:49:06 |
qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-10-25 20:49:06 |
qflipper-1.3.3-r1.apk | 497.8 KiB | 2024-10-25 20:49:06 |
qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-10-25 20:49:06 |
qdjango-0.6.2-r1.apk | 99.2 KiB | 2024-10-25 20:49:06 |
qbittorrent-cli-2.1.0-r0.apk | 5.1 MiB | 2024-12-25 19:27:23 |
pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-10-25 20:49:06 |
pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-10-25 20:49:06 |
pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-10-25 20:49:06 |
pypykatz-pyc-0.6.10-r0.apk | 706.0 KiB | 2024-10-25 20:49:06 |
pypykatz-0.6.10-r0.apk | 317.8 KiB | 2024-10-25 20:49:06 |
pyonji-0.1.0-r0.apk | 2.6 MiB | 2024-11-21 03:01:00 |
pympress-pyc-1.8.5-r1.apk | 182.2 KiB | 2024-10-25 20:49:06 |
pympress-lang-1.8.5-r1.apk | 56.3 KiB | 2024-10-25 20:49:06 |
pympress-doc-1.8.5-r1.apk | 349.2 KiB | 2024-10-25 20:49:06 |
pympress-1.8.5-r1.apk | 181.2 KiB | 2024-10-25 20:49:06 |
pyinfra-pyc-3.1.1-r0.apk | 330.2 KiB | 2024-10-25 20:49:06 |
pyinfra-3.1.1-r0.apk | 175.9 KiB | 2024-10-25 20:49:06 |
py3-zope-schema-pyc-7.0.1-r3.apk | 61.0 KiB | 2024-10-25 20:49:06 |
py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-10-25 20:49:06 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 7.9 KiB | 2024-10-25 20:49:05 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15.7 KiB | 2024-10-25 20:49:05 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.5 KiB | 2024-10-25 20:49:05 |
py3-zope-configuration-5.0.1-r2.apk | 39.1 KiB | 2024-10-25 20:49:05 |
py3-zipfile2-pyc-0.0.12-r0.apk | 28.5 KiB | 2024-10-25 20:49:05 |
py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-10-25 20:49:05 |
py3-youtube-search-pyc-1.6.6-r4.apk | 96.0 KiB | 2024-10-25 20:49:05 |
py3-youtube-search-1.6.6-r4.apk | 79.1 KiB | 2024-10-25 20:49:05 |
py3-yosys-0.42-r0.apk | 1.8 KiB | 2024-10-25 20:49:05 |
py3-yara-4.5.1-r0.apk | 18.6 KiB | 2024-10-25 20:49:05 |
py3-yapsy-pyc-1.12.2-r7.apk | 47.0 KiB | 2024-10-25 20:49:05 |
py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-10-25 20:49:05 |
py3-xsdata-pyc-24.12-r0.apk | 389.3 KiB | 2024-12-23 21:49:20 |
py3-xsdata-24.12-r0.apk | 188.6 KiB | 2024-12-23 21:49:20 |
py3-xlwt-pyc-1.3.0-r9.apk | 165.4 KiB | 2024-10-25 20:49:05 |
py3-xlwt-1.3.0-r9.apk | 94.6 KiB | 2024-10-25 20:49:05 |
py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-11-21 13:31:06 |
py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-11-12 11:33:31 |
py3-x-wr-timezone-pyc-2.0.0-r0.apk | 6.9 KiB | 2024-12-06 22:31:08 |
py3-x-wr-timezone-2.0.0-r0.apk | 11.5 KiB | 2024-12-06 22:31:08 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-10-25 20:49:05 |
py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-10-25 20:49:05 |
py3-wstools-pyc-0.4.10-r7.apk | 110.4 KiB | 2024-10-25 20:49:05 |
py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-10-25 20:49:05 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28.1 KiB | 2024-10-25 20:49:05 |
py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-10-25 20:49:05 |
py3-winacl-pyc-0.1.9-r0.apk | 131.9 KiB | 2024-10-25 20:49:05 |
py3-winacl-0.1.9-r0.apk | 83.8 KiB | 2024-10-25 20:49:05 |
py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-10-25 20:49:05 |
py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-10-25 20:49:05 |
py3-wgconfig-pyc-1.0.3-r0.apk | 11.6 KiB | 2024-10-25 20:49:05 |
py3-wgconfig-1.0.3-r0.apk | 22.8 KiB | 2024-10-25 20:49:05 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-10-25 20:49:05 |
py3-wg-netns-2.3.1-r1.apk | 7.5 KiB | 2024-10-25 20:49:05 |
py3-webrtcvad-pyc-2.0.10-r1.apk | 2.8 KiB | 2024-10-25 20:49:05 |
py3-webrtcvad-2.0.10-r1.apk | 25.1 KiB | 2024-10-25 20:49:05 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-10-25 20:49:05 |
py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-10-25 20:49:05 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.5 KiB | 2024-10-25 20:49:05 |
py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-10-25 20:49:05 |
py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-10-25 20:49:05 |
py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-10-25 20:49:05 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.7 KiB | 2024-10-25 20:49:05 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.9 KiB | 2024-10-25 20:49:05 |
py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-12-07 21:14:21 |
py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-12-07 21:14:21 |
py3-vdf-pyc-3.4-r1.apk | 16.5 KiB | 2024-10-25 20:49:05 |
py3-vdf-3.4-r1.apk | 11.3 KiB | 2024-10-25 20:49:05 |
py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-10-25 20:49:05 |
py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-10-25 20:49:05 |
py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-10-25 20:49:05 |
py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-10-25 20:49:05 |
py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-10-25 20:49:05 |
py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-10-25 20:49:05 |
py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-10-25 20:49:05 |
py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-10-25 20:49:05 |
py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-10-25 20:49:05 |
py3-uptime-3.0.1-r9.apk | 9.7 KiB | 2024-10-25 20:49:05 |
py3-unoconv-0.9.0-r2.apk | 26.0 KiB | 2024-10-25 20:49:05 |
py3-unidns-pyc-0.0.1-r2.apk | 21.6 KiB | 2024-10-25 20:49:05 |
py3-unidns-examples-0.0.1-r2.apk | 2.7 KiB | 2024-10-25 20:49:05 |
py3-unidns-0.0.1-r2.apk | 13.5 KiB | 2024-10-25 20:49:05 |
py3-unicrypto-pyc-0.0.10-r2.apk | 94.0 KiB | 2024-10-25 20:49:05 |
py3-unicrypto-0.0.10-r2.apk | 60.9 KiB | 2024-10-25 20:49:05 |
py3-unearth-pyc-0.17.2-r0.apk | 82.2 KiB | 2024-10-25 20:49:05 |
py3-unearth-0.17.2-r0.apk | 41.3 KiB | 2024-10-25 20:49:05 |
py3-uc-micro-py-1.0.2-r1.apk | 9.0 KiB | 2024-10-25 20:49:05 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-10-25 20:49:05 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-10-25 20:49:05 |
py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-10-25 20:49:05 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-10-25 20:49:05 |
py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-10-25 20:49:05 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-10-25 20:49:05 |
py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-10-25 20:49:05 |
py3-twiggy-pyc-0.5.1-r4.apk | 38.6 KiB | 2024-10-25 20:49:05 |
py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-10-25 20:49:05 |
py3-truststore-pyc-0.10.0-r0.apk | 25.2 KiB | 2024-10-25 20:49:05 |
py3-truststore-0.10.0-r0.apk | 17.3 KiB | 2024-10-25 20:49:05 |
py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-10-25 20:49:05 |
py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-10-25 20:49:05 |
py3-trimesh-pyc-3.22.1-r2.apk | 731.0 KiB | 2024-10-25 20:49:05 |
py3-trimesh-3.22.1-r2.apk | 629.5 KiB | 2024-10-25 20:49:05 |
py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-10-25 20:49:05 |
py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-10-25 20:49:05 |
py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-10-25 20:49:05 |
py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-10-25 20:49:05 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-10-25 20:49:05 |
py3-tpm2-pytss-2.3.0-r1.apk | 296.3 KiB | 2024-10-25 20:49:05 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.5 KiB | 2024-10-25 20:49:05 |
py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-10-25 20:49:05 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17.4 KiB | 2024-10-25 20:49:05 |
py3-tls_parser-2.0.1-r1.apk | 10.7 KiB | 2024-10-25 20:49:05 |
py3-timeago-pyc-1.0.16-r0.apk | 27.6 KiB | 2024-10-25 20:49:05 |
py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-10-25 20:49:05 |
py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-10-25 20:49:05 |
py3-tidalapi-pyc-0.7.4-r1.apk | 62.2 KiB | 2024-10-25 20:49:05 |
py3-tidalapi-0.7.4-r1.apk | 35.5 KiB | 2024-10-25 20:49:05 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-10-25 20:49:05 |
py3-ticket-auth-0.1.4-r9.apk | 5.9 KiB | 2024-10-25 20:49:05 |
py3-thefuzz-pyc-0.22.1-r1.apk | 8.9 KiB | 2024-10-25 20:49:05 |
py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-10-25 20:49:05 |
py3-tg-pyc-0.19.0-r5.apk | 80.4 KiB | 2024-10-25 20:49:05 |
py3-tg-0.19.0-r5.apk | 73.4 KiB | 2024-10-25 20:49:05 |
py3-textual-pyc-0.87.1-r0.apk | 1.1 MiB | 2024-12-07 20:32:31 |
py3-textual-0.87.1-r0.apk | 567.4 KiB | 2024-12-07 20:32:31 |
py3-testresources-pyc-2.0.1-r6.apk | 15.8 KiB | 2024-10-25 20:49:05 |
py3-testresources-2.0.1-r6.apk | 17.4 KiB | 2024-10-25 20:49:05 |
py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-10-25 20:49:05 |
py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-10-25 20:49:05 |
py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-10-25 20:49:05 |
py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-10-25 20:49:05 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-10-25 20:49:05 |
py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-10-25 20:49:05 |
py3-telegram-pyc-0.18.0-r3.apk | 20.9 KiB | 2024-10-25 20:49:05 |
py3-telegram-bot-pyc-21.7-r0.apk | 710.7 KiB | 2024-12-01 17:08:22 |
py3-telegram-bot-21.7-r0.apk | 446.2 KiB | 2024-12-01 17:08:22 |
py3-telegram-0.18.0-r3.apk | 13.6 KiB | 2024-10-25 20:49:05 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-10-25 20:49:05 |
py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-10-25 20:49:05 |
py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-10-25 20:49:05 |
py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-10-25 20:49:05 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5.6 KiB | 2024-10-25 20:49:05 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 9.8 KiB | 2024-10-25 20:49:05 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-10-25 20:49:05 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-10-25 20:49:05 |
py3-svgpath-pyc-6.3-r3.apk | 21.7 KiB | 2024-10-25 20:49:05 |
py3-svgpath-6.3-r3.apk | 17.1 KiB | 2024-10-25 20:49:05 |
py3-stringcase-pyc-1.2.0-r8.apk | 3.9 KiB | 2024-10-25 20:49:05 |
py3-stringcase-1.2.0-r8.apk | 4.8 KiB | 2024-10-25 20:49:05 |
py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-10-25 20:49:05 |
py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-10-25 20:49:05 |
py3-spotipy-pyc-2.24.0-r1.apk | 49.7 KiB | 2024-10-25 20:49:05 |
py3-spotipy-2.24.0-r1.apk | 29.9 KiB | 2024-10-25 20:49:05 |
py3-spnego-pyc-0.10.2-r1.apk | 219.0 KiB | 2024-10-25 20:49:05 |
py3-spnego-0.10.2-r1.apk | 118.9 KiB | 2024-10-25 20:49:05 |
py3-spinners-pyc-0.0.24-r5.apk | 6.2 KiB | 2024-10-25 20:49:05 |
py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-10-25 20:49:05 |
py3-spin-pyc-0.8-r0.apk | 24.3 KiB | 2024-10-25 20:49:05 |
py3-spin-0.8-r0.apk | 18.5 KiB | 2024-10-25 20:49:05 |
py3-spidev-3.6-r1.apk | 14.1 KiB | 2024-10-25 20:49:05 |
py3-sphobjinv-pyc-2.3.1.2-r0.apk | 51.3 KiB | 2024-12-28 18:14:03 |
py3-sphobjinv-2.3.1.2-r0.apk | 39.0 KiB | 2024-12-28 18:14:03 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.6 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 18.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk | 5.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-slide-1.0.0-r3.apk | 5.0 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.4 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk | 17.0 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk | 11.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.0 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk | 43.8 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk | 21.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.8 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.4 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16.4 KiB | 2024-12-06 22:58:05 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12.2 KiB | 2024-12-06 22:58:05 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.4 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.2 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 11.9 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-10-25 20:49:05 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-10-25 20:49:05 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-10-25 20:49:05 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-10-25 20:49:05 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-10-25 20:49:05 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-10-25 20:49:05 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.8 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.7 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.7 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-10-25 20:49:04 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-10-25 20:49:04 |
py3-sphinx-autodoc-typehints-pyc-3.0.0-r0.apk | 28.5 KiB | 2025-01-05 18:09:46 |
py3-sphinx-autodoc-typehints-3.0.0-r0.apk | 19.4 KiB | 2025-01-05 18:09:46 |
py3-sphinx-autoapi-pyc-3.4.0-r0.apk | 58.3 KiB | 2024-12-04 22:09:20 |
py3-sphinx-autoapi-3.4.0-r0.apk | 31.0 KiB | 2024-12-04 22:09:20 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-10-25 20:49:04 |
py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-10-25 20:49:04 |
py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-10-25 20:49:04 |
py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-10-25 20:49:04 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-10-25 20:49:04 |
py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-10-25 20:49:04 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-10-25 20:49:04 |
py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-10-25 20:49:04 |
py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-12-02 23:04:04 |
py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-12-02 23:04:04 |
py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-10-25 20:49:04 |
py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-10-25 20:49:04 |
py3-slixmpp-pyc-1.8.5-r2.apk | 728.2 KiB | 2024-10-25 20:49:04 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-10-25 20:49:04 |
py3-slixmpp-1.8.5-r2.apk | 382.9 KiB | 2024-10-25 20:49:04 |
py3-slidge-style-parser-pyc-0.1.8-r0.apk | 1.9 KiB | 2024-10-25 20:49:04 |
py3-slidge-style-parser-0.1.8-r0.apk | 215.9 KiB | 2024-10-25 20:49:04 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-10-25 20:49:04 |
py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-10-25 20:49:04 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-10-25 20:49:04 |
py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-10-25 20:49:04 |
py3-simplesat-pyc-0.8.2-r0.apk | 156.3 KiB | 2024-10-25 20:49:04 |
py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-10-25 20:49:04 |
py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-10-25 20:49:04 |
py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-10-25 20:49:04 |
py3-simber-pyc-0.2.6-r4.apk | 16.4 KiB | 2024-10-25 20:49:04 |
py3-simber-0.2.6-r4.apk | 12.1 KiB | 2024-10-25 20:49:04 |
py3-shodan-pyc-1.31.0-r1.apk | 78.8 KiB | 2024-10-25 20:49:04 |
py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-10-25 20:49:04 |
py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-10-25 20:49:04 |
py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-11-01 15:13:57 |
py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-11-01 15:13:57 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-10-25 20:49:04 |
py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-10-25 20:49:04 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.1 KiB | 2024-10-25 20:49:04 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-10-25 20:49:04 |
py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-10-25 20:49:04 |
py3-scs-3.2.3-r4.apk | 111.5 KiB | 2024-10-25 20:49:04 |
py3-scrapy-pyc-2.11.1-r1.apk | 481.6 KiB | 2024-10-25 20:49:04 |
py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-10-25 20:49:04 |
py3-scour-pyc-0.38.2-r1.apk | 73.3 KiB | 2024-10-25 20:49:04 |
py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-10-25 20:49:04 |
py3-schema-pyc-0.7.5-r4.apk | 18.3 KiB | 2024-10-25 20:49:04 |
py3-schema-0.7.5-r4.apk | 18.5 KiB | 2024-10-25 20:49:04 |
py3-rtree-pyc-1.3.0-r0.apk | 44.4 KiB | 2025-01-04 05:20:24 |
py3-rtree-1.3.0-r0.apk | 24.7 KiB | 2025-01-04 05:20:24 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-10-25 20:49:04 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-10-25 20:49:04 |
py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-10-25 20:49:04 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-10-25 20:49:04 |
py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-10-25 20:49:04 |
py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-10-25 20:49:04 |
py3-rst-0.1-r9.apk | 5.6 KiB | 2024-10-25 20:49:04 |
py3-rpio-pyc-0.10.1-r8.apk | 15.9 KiB | 2024-10-25 20:49:04 |
py3-rpio-0.10.1-r8.apk | 37.4 KiB | 2024-10-25 20:49:04 |
py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-10-25 20:49:04 |
py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-10-25 20:49:04 |
py3-rosdistro-pyc-0.9.0-r3.apk | 90.9 KiB | 2024-10-25 20:49:04 |
py3-rosdistro-0.9.0-r3.apk | 47.0 KiB | 2024-10-25 20:49:04 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-10-25 20:49:04 |
py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-10-25 20:49:04 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-10-25 20:49:04 |
py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-10-25 20:49:04 |
py3-rfc3987-pyc-1.3.8-r6.apk | 10.6 KiB | 2024-10-25 20:49:04 |
py3-rfc3987-1.3.8-r6.apk | 20.9 KiB | 2024-10-25 20:49:04 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-10-25 20:49:04 |
py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-10-25 20:49:04 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-10-25 20:49:04 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-10-25 20:49:04 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-12-01 17:24:32 |
py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-12-01 17:24:32 |
py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-11-18 08:46:40 |
py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-11-18 08:46:40 |
py3-remind-pyc-0.19.1-r0.apk | 22.5 KiB | 2024-10-25 20:49:04 |
py3-remind-0.19.1-r0.apk | 24.6 KiB | 2024-10-25 20:49:04 |
py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-10-25 20:49:04 |
py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-10-25 20:49:04 |
py3-recurring-ical-events-pyc-3.4.0-r0.apk | 33.4 KiB | 2024-12-28 18:13:45 |
py3-recurring-ical-events-3.4.0-r0.apk | 31.0 KiB | 2024-12-28 18:13:45 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-10-25 20:49:04 |
py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-10-25 20:49:04 |
py3-radon-pyc-6.0.1-r2.apk | 49.5 KiB | 2024-10-25 20:49:04 |
py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-10-25 20:49:04 |
py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-10-25 20:49:04 |
py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-10-25 20:49:04 |
py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-10-25 20:49:04 |
py3-queuelib-pyc-1.7.0-r0.apk | 24.8 KiB | 2024-10-25 20:49:04 |
py3-queuelib-1.7.0-r0.apk | 12.8 KiB | 2024-10-25 20:49:04 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-10-25 20:49:04 |
py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-10-25 20:49:04 |
py3-qt.py-pyc-1.3.10-r1.apk | 24.6 KiB | 2024-10-25 20:49:04 |
py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-10-25 20:49:04 |
py3-qpageview-pyc-0.6.2-r1.apk | 179.8 KiB | 2024-10-25 20:49:04 |
py3-qpageview-doc-0.6.2-r1.apk | 56.2 KiB | 2024-10-25 20:49:04 |
py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-10-25 20:49:04 |
py3-qdldl-0.1.5-r4.apk | 99.0 KiB | 2024-10-25 20:49:04 |
py3-qbittorrent-api-pyc-2024.12.71-r0.apk | 94.4 KiB | 2024-12-23 21:33:01 |
py3-qbittorrent-api-doc-2024.12.71-r0.apk | 30.8 KiB | 2024-12-23 21:33:01 |
py3-qbittorrent-api-2024.12.71-r0.apk | 56.7 KiB | 2024-12-23 21:33:01 |
py3-qasync-0.19.0-r2.apk | 36.8 KiB | 2024-10-25 20:49:04 |
py3-pyzor-pyc-1.0.0-r11.apk | 53.6 KiB | 2024-10-25 20:49:04 |
py3-pyzor-1.0.0-r11.apk | 40.2 KiB | 2024-10-25 20:49:04 |
py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-11-11 21:46:24 |
py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-11-11 21:46:24 |
py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-10-25 20:49:04 |
py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-10-25 20:49:04 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-10-25 20:49:04 |
py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-10-25 20:49:04 |
py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-10-25 20:49:04 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.9 KiB | 2024-10-25 20:49:04 |
py3-python-iptables-1.0.1-r1.apk | 38.7 KiB | 2024-10-25 20:49:04 |
py3-python-archive-pyc-0.2-r7.apk | 9.3 KiB | 2024-10-25 20:49:04 |
py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-10-25 20:49:04 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-10-25 20:49:04 |
py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-10-25 20:49:04 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-10-25 20:49:04 |
py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-10-25 20:49:04 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.7 KiB | 2024-10-25 20:49:04 |
py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-10-25 20:49:04 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-10-25 20:49:04 |
py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-10-25 20:49:04 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 6.9 KiB | 2024-10-25 20:49:04 |
py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-10-25 20:49:04 |
py3-pyte-pyc-0.8.2-r2.apk | 39.4 KiB | 2024-10-25 20:49:04 |
py3-pyte-0.8.2-r2.apk | 30.3 KiB | 2024-10-25 20:49:04 |
py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-10-25 20:49:04 |
py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-10-25 20:49:04 |
py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-10-25 20:49:04 |
py3-pytaglib-pyc-1.5.0-r3.apk | 3.0 KiB | 2024-10-25 20:49:04 |
py3-pytaglib-1.5.0-r3.apk | 35.8 KiB | 2024-10-25 20:49:04 |
py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-12-25 22:09:51 |
py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-12-25 22:09:51 |
py3-pystache-pyc-0.6.5-r1.apk | 96.6 KiB | 2024-10-25 20:49:04 |
py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-10-25 20:49:04 |
py3-pysrt-pyc-1.1.2-r4.apk | 23.0 KiB | 2024-10-25 20:49:04 |
py3-pysrt-1.1.2-r4.apk | 25.8 KiB | 2024-10-25 20:49:04 |
py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-10-25 20:49:04 |
py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-10-25 20:49:03 |
py3-pysonic-pyc-1.0.1-r1.apk | 31.9 KiB | 2024-10-25 20:49:03 |
py3-pysonic-1.0.1-r1.apk | 34.7 KiB | 2024-10-25 20:49:03 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.0 KiB | 2024-10-25 20:49:03 |
py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-10-25 20:49:03 |
py3-pysequoia-pyc-0.1.20-r3.apk | 1.9 KiB | 2024-10-25 20:49:03 |
py3-pysequoia-0.1.20-r3.apk | 1.6 MiB | 2024-10-25 20:49:03 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-10-25 20:49:03 |
py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-10-25 20:49:03 |
py3-pyroma-pyc-4.2-r0.apk | 25.9 KiB | 2024-10-25 20:49:03 |
py3-pyroma-4.2-r0.apk | 21.8 KiB | 2024-10-25 20:49:03 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17.5 KiB | 2024-10-25 20:49:03 |
py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-10-25 20:49:03 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.1 KiB | 2024-10-25 20:49:03 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-10-25 20:49:03 |
py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-10-25 20:49:03 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.8 KiB | 2024-10-25 20:49:03 |
py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-10-25 20:49:03 |
py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-10-25 20:49:03 |
py3-pyparted-pyc-3.13.0-r1.apk | 42.1 KiB | 2024-10-25 20:49:03 |
py3-pyparted-3.13.0-r1.apk | 78.7 KiB | 2024-10-25 20:49:03 |
py3-pynest2d-5.2.2-r4.apk | 259.4 KiB | 2024-10-25 20:49:03 |
py3-pymsteams-pyc-0.2.3-r1.apk | 6.6 KiB | 2024-10-25 20:49:03 |
py3-pymsteams-0.2.3-r1.apk | 11.8 KiB | 2024-10-25 20:49:03 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-10-25 20:49:03 |
py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-10-25 20:49:03 |
py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-10-25 20:49:03 |
py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-10-25 20:49:03 |
py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-10-25 20:49:03 |
py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-10-25 20:49:03 |
py3-pymata-pyc-2.20-r4.apk | 29.3 KiB | 2024-10-25 20:49:03 |
py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-10-25 20:49:03 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-10-25 20:49:03 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.7 KiB | 2024-10-25 20:49:03 |
py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-10-25 20:49:03 |
py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-10-25 20:49:03 |
py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-10-25 20:49:03 |
py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-10-25 20:49:03 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-10-25 20:49:03 |
py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-10-25 20:49:03 |
py3-pyinstrument-pyc-5.0.0-r0.apk | 98.4 KiB | 2024-12-21 05:55:03 |
py3-pyinstrument-5.0.0-r0.apk | 106.5 KiB | 2024-12-21 05:55:03 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 500.9 KiB | 2024-10-25 20:49:03 |
py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-10-25 20:49:03 |
py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-10-25 20:49:03 |
py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-10-25 20:49:03 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-10-25 20:49:03 |
py3-pygpgme-0.3.1-r9.apk | 38.0 KiB | 2024-10-25 20:49:03 |
py3-pygfm-pyc-2.0.0-r2.apk | 13.2 KiB | 2024-10-25 20:49:03 |
py3-pygfm-2.0.0-r2.apk | 12.7 KiB | 2024-10-25 20:49:03 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11.5 KiB | 2024-10-25 20:49:03 |
py3-pygelbooru-0.5.0-r4.apk | 8.2 KiB | 2024-10-25 20:49:03 |
py3-pydes-pyc-2.0.1-r5.apk | 13.1 KiB | 2024-10-25 20:49:03 |
py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-10-25 20:49:03 |
py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-10-25 20:49:03 |
py3-pycosat-0.6.6-r2.apk | 47.0 KiB | 2024-10-25 20:49:03 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-10-25 20:49:03 |
py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-10-25 20:49:03 |
py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-10-25 20:49:03 |
py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-10-25 20:49:03 |
py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-10-25 20:49:03 |
py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-10-25 20:49:03 |
py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-10-25 20:49:03 |
py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-10-25 20:49:03 |
py3-pyatem-0.5.0-r4.apk | 52.5 KiB | 2024-10-25 20:49:03 |
py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-10-25 20:49:03 |
py3-py-radix-0.10.0-r10.apk | 20.2 KiB | 2024-10-25 20:49:03 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-10-25 20:49:03 |
py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-10-25 20:49:03 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-12-16 11:36:22 |
py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-12-16 11:36:22 |
py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-11-30 20:31:59 |
py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-11-30 20:31:59 |
py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-10-25 20:49:03 |
py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-10-25 20:49:03 |
py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-10-25 20:49:03 |
py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-10-25 20:49:03 |
py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-10-25 20:49:03 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-10-25 20:49:03 |
py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-10-25 20:49:03 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-11-30 20:52:07 |
py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-11-30 20:52:07 |
py3-poppler-qt5-21.3.0-r1.apk | 137.2 KiB | 2024-10-25 20:49:03 |
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk | 24.3 KiB | 2024-10-25 20:49:03 |
py3-poetry-dynamic-versioning-1.4.1-r0.apk | 19.2 KiB | 2024-10-25 20:49:03 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25.1 KiB | 2024-10-25 20:49:03 |
py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-10-25 20:49:03 |
py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-11-13 06:54:40 |
py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-11-13 06:54:40 |
py3-plexapi-pyc-4.16.0-r0.apk | 306.0 KiB | 2024-11-25 14:10:11 |
py3-plexapi-doc-4.16.0-r0.apk | 84.0 KiB | 2024-11-25 14:10:11 |
py3-plexapi-4.16.0-r0.apk | 153.0 KiB | 2024-11-25 14:10:11 |
py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-10-25 20:49:03 |
py3-playsound-1.3.0-r1.apk | 6.8 KiB | 2024-10-25 20:49:03 |
py3-pivy-0.6.9-r2.apk | 2.1 MiB | 2024-11-23 23:12:00 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.6 KiB | 2024-10-25 20:49:03 |
py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-10-25 20:49:03 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-10-25 20:49:03 |
py3-pillow_heif-0.18.0-r0.apk | 43.7 KiB | 2024-10-25 20:49:03 |
py3-pika-pyc-1.3.2-r1.apk | 245.6 KiB | 2024-10-25 20:49:03 |
py3-pika-1.3.2-r1.apk | 143.1 KiB | 2024-10-25 20:49:03 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.3 KiB | 2024-10-25 20:49:03 |
py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-10-25 20:49:03 |
py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-10-25 20:49:03 |
py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-10-25 20:49:03 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-10-25 20:49:03 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-10-25 20:49:03 |
py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-10-25 20:49:03 |
py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-10-25 20:49:03 |
py3-phpserialize-1.3-r8.apk | 8.8 KiB | 2024-10-25 20:49:03 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-10-25 20:49:03 |
py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-10-25 20:49:03 |
py3-pelican-pyc-4.9.1-r2.apk | 146.9 KiB | 2024-10-25 20:49:03 |
py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-10-25 20:49:03 |
py3-pdal-pyc-3.4.5-r0.apk | 13.0 KiB | 2024-11-06 07:22:20 |
py3-pdal-3.4.5-r0.apk | 170.2 KiB | 2024-11-06 07:22:20 |
py3-pcbnewtransition-pyc-0.4.2-r0.apk | 9.5 KiB | 2024-11-29 23:03:35 |
py3-pcbnewtransition-0.4.2-r0.apk | 7.4 KiB | 2024-11-29 23:03:35 |
py3-pbs-installer-pyc-2024.12.19-r0.apk | 56.9 KiB | 2024-12-31 01:10:27 |
py3-pbs-installer-2024.12.19-r0.apk | 50.6 KiB | 2024-12-31 01:10:27 |
py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-10-25 20:49:03 |
py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-10-25 20:49:03 |
py3-pathvalidate-pyc-3.2.3-r0.apk | 33.3 KiB | 2025-01-06 10:40:37 |
py3-pathvalidate-3.2.3-r0.apk | 18.8 KiB | 2025-01-06 10:40:37 |
py3-pam-pyc-2.0.2-r2.apk | 12.9 KiB | 2024-10-25 20:49:03 |
py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-10-25 20:49:03 |
py3-padacioso-pyc-0.2.1-r0.apk | 12.2 KiB | 2024-10-25 20:49:03 |
py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-10-25 20:49:03 |
py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-10-25 20:49:03 |
py3-pacparser-1.4.5-r1.apk | 419.3 KiB | 2024-10-25 20:49:03 |
py3-owslib-pyc-0.32.0-r0.apk | 421.1 KiB | 2024-11-07 22:59:13 |
py3-owslib-0.32.0-r0.apk | 193.2 KiB | 2024-11-07 22:59:13 |
py3-ovos-workshop-pyc-3.1.1-r0.apk | 155.7 KiB | 2024-11-25 12:41:34 |
py3-ovos-workshop-3.1.1-r0.apk | 87.1 KiB | 2024-11-25 12:41:34 |
py3-ovos-utils-pyc-0.5.4-r0.apk | 123.9 KiB | 2024-11-25 12:41:34 |
py3-ovos-utils-0.5.4-r0.apk | 68.8 KiB | 2024-11-25 12:41:34 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-10-25 20:49:02 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-10-25 20:49:02 |
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk | 177.7 KiB | 2024-11-25 12:41:34 |
py3-ovos-plugin-manager-0.6.0-r0.apk | 91.8 KiB | 2024-11-25 12:41:34 |
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk | 9.6 KiB | 2024-11-21 13:31:06 |
py3-ovos-phal-plugin-system-1.0.1-r0.apk | 14.5 KiB | 2024-11-21 13:31:06 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-10-25 20:49:02 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-10-25 20:49:02 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 435.5 KiB | 2024-10-25 20:49:02 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-10-25 20:49:02 |
py3-ovos-config-pyc-1.0.0-r0.apk | 33.9 KiB | 2024-11-25 12:41:34 |
py3-ovos-config-1.0.0-r0.apk | 43.2 KiB | 2024-11-25 12:41:34 |
py3-ovos-bus-client-pyc-1.0.4-r0.apk | 81.5 KiB | 2024-11-21 13:31:06 |
py3-ovos-bus-client-1.0.4-r0.apk | 45.4 KiB | 2024-11-21 13:31:06 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 90.6 KiB | 2024-11-25 12:41:34 |
py3-ovos-backend-client-1.0.0-r0.apk | 45.2 KiB | 2024-11-25 12:41:34 |
py3-osqp-pyc-0.6.2-r6.apk | 76.5 KiB | 2024-10-25 20:49:02 |
py3-osqp-dev-0.6.2-r6.apk | 49.0 KiB | 2024-10-25 20:49:02 |
py3-osqp-0.6.2-r6.apk | 110.3 KiB | 2024-10-25 20:49:02 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-10-25 20:49:02 |
py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-10-25 20:49:02 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.2 KiB | 2024-10-25 20:49:02 |
py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-10-25 20:49:02 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-10-25 20:49:02 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-10-25 20:49:02 |
py3-opendht-3.1.7-r5.apk | 151.2 KiB | 2024-12-14 21:14:20 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-10-25 20:49:02 |
py3-openapi-codec-1.3.2-r9.apk | 7.6 KiB | 2024-10-25 20:49:02 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 243.1 KiB | 2024-10-25 20:49:02 |
py3-okonomiyaki-2.0.0-r0.apk | 7.9 MiB | 2024-10-25 20:49:02 |
py3-nwdiag-pyc-3.0.0-r3.apk | 77.8 KiB | 2024-10-25 20:49:02 |
py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-10-25 20:49:02 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-12-01 02:05:20 |
py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-12-01 02:05:20 |
py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-10-25 20:49:01 |
py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-10-25 20:49:01 |
py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-10-25 20:49:01 |
py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-10-25 20:49:01 |
py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-10-25 20:49:01 |
py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-10-25 20:49:01 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-10-25 20:49:01 |
py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-10-25 20:49:01 |
py3-nmap-pyc-0.7.1-r4.apk | 25.4 KiB | 2024-10-25 20:49:01 |
py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-10-25 20:49:01 |
py3-nikola-pyc-8.3.1-r0.apk | 528.9 KiB | 2024-10-25 20:49:01 |
py3-nikola-doc-8.3.1-r0.apk | 60.7 KiB | 2024-10-25 20:49:01 |
py3-nikola-8.3.1-r0.apk | 1.2 MiB | 2024-10-25 20:49:01 |
py3-niaarmts-pyc-0.1.3-r0.apk | 15.7 KiB | 2025-01-10 21:06:06 |
py3-niaarmts-0.1.3-r0.apk | 13.5 KiB | 2025-01-10 21:06:06 |
py3-netmiko-pyc-4.4.0-r0.apk | 333.4 KiB | 2024-10-25 20:49:01 |
py3-netmiko-4.4.0-r0.apk | 175.8 KiB | 2024-10-25 20:49:01 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-10-25 20:49:01 |
py3-netifaces2-0.0.22-r0.apk | 201.8 KiB | 2024-10-25 20:49:01 |
py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-10-25 20:49:01 |
py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-10-25 20:49:01 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.8 KiB | 2024-10-25 20:49:01 |
py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-10-25 20:49:01 |
py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-11-14 12:57:19 |
py3-msldap-pyc-0.5.12-r0.apk | 314.4 KiB | 2024-10-25 20:49:01 |
py3-msldap-0.5.12-r0.apk | 139.1 KiB | 2024-10-25 20:49:01 |
py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-10-25 20:49:01 |
py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-10-25 20:49:01 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-10-25 20:49:01 |
py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-10-25 20:49:01 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.7 KiB | 2024-10-25 20:49:01 |
py3-mopidy-tidal-0.3.2-r6.apk | 24.8 KiB | 2024-10-25 20:49:01 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-10-25 20:49:01 |
py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-10-25 20:49:01 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-01-03 15:52:11 |
py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-01-03 15:52:11 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.7 KiB | 2024-10-25 20:49:01 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-10-25 20:49:01 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 48.3 KiB | 2024-10-25 20:49:01 |
py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-10-25 20:49:01 |
py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-10-25 20:49:01 |
py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-10-25 20:49:01 |
py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-10-25 20:49:01 |
py3-mistletoe-pyc-1.2.1-r2.apk | 91.5 KiB | 2024-10-25 20:49:01 |
py3-mistletoe-1.2.1-r2.apk | 43.8 KiB | 2024-10-25 20:49:01 |
py3-minio-pyc-7.2.13-r0.apk | 160.4 KiB | 2024-12-25 19:27:23 |
py3-minio-7.2.13-r0.apk | 76.4 KiB | 2024-12-25 19:27:23 |
py3-minikerberos-pyc-0.4.4-r1.apk | 263.1 KiB | 2024-10-25 20:49:01 |
py3-minikerberos-0.4.4-r1.apk | 128.6 KiB | 2024-10-25 20:49:01 |
py3-minidump-pyc-0.0.24-r0.apk | 130.4 KiB | 2024-10-25 20:49:01 |
py3-minidump-0.0.24-r0.apk | 65.3 KiB | 2024-10-25 20:49:01 |
py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-11-13 18:59:40 |
py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-11-13 18:59:40 |
py3-milc-pyc-1.9.0-r0.apk | 41.8 KiB | 2024-10-25 20:49:01 |
py3-milc-1.9.0-r0.apk | 26.4 KiB | 2024-10-25 20:49:01 |
py3-migen-pyc-0.9.2-r2.apk | 295.0 KiB | 2024-10-25 20:49:01 |
py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-10-25 20:49:01 |
py3-mbedtls-pyc-2.10.1-r2.apk | 27.4 KiB | 2024-10-25 20:49:01 |
py3-mbedtls-2.10.1-r2.apk | 918.7 KiB | 2024-10-25 20:49:01 |
py3-marshmallow-pyc-3.23.2-r0.apk | 82.4 KiB | 2024-12-22 19:22:38 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.4 KiB | 2024-10-25 20:49:01 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-10-25 20:49:01 |
py3-marshmallow-3.23.2-r0.apk | 46.2 KiB | 2024-12-22 19:22:38 |
py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-10-25 20:49:01 |
py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-10-25 20:49:01 |
py3-marisa-trie-1.2.1-r0.apk | 135.5 KiB | 2024-11-16 19:33:46 |
py3-mapbox-earcut-1.0.1-r2.apk | 60.0 KiB | 2024-10-25 20:49:01 |
py3-manuel-pyc-1.13.0-r0.apk | 25.6 KiB | 2024-11-30 20:31:59 |
py3-manuel-1.13.0-r0.apk | 39.1 KiB | 2024-11-30 20:31:59 |
py3-mando-pyc-0.7.1-r3.apk | 36.0 KiB | 2024-10-25 20:49:01 |
py3-mando-doc-0.7.1-r3.apk | 4.2 KiB | 2024-10-25 20:49:01 |
py3-mando-0.7.1-r3.apk | 22.1 KiB | 2024-10-25 20:49:01 |
py3-m2crypto-pyc-0.41.0-r2.apk | 122.4 KiB | 2024-10-25 20:49:01 |
py3-m2crypto-0.41.0-r2.apk | 200.3 KiB | 2024-10-25 20:49:01 |
py3-lzo-pyc-1.16-r1.apk | 1.9 KiB | 2024-10-25 20:49:01 |
py3-lzo-1.16-r1.apk | 16.7 KiB | 2024-10-25 20:49:01 |
py3-ly-pyc-0.9.8-r1.apk | 353.8 KiB | 2024-10-25 20:49:01 |
py3-ly-doc-0.9.8-r1.apk | 8.1 KiB | 2024-10-25 20:49:01 |
py3-ly-0.9.8-r1.apk | 187.2 KiB | 2024-10-25 20:49:01 |
py3-lunr-pyc-0.6.2-r4.apk | 50.5 KiB | 2024-10-25 20:49:01 |
py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-10-25 20:49:01 |
py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-10-25 20:49:01 |
py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-10-25 20:49:01 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-10-25 20:49:01 |
py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-10-25 20:49:01 |
py3-lsp-mypy-pyc-0.6.8-r1.apk | 11.1 KiB | 2024-10-25 20:49:01 |
py3-lsp-mypy-0.6.8-r1.apk | 11.5 KiB | 2024-10-25 20:49:01 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-10-25 20:49:01 |
py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-10-25 20:49:01 |
py3-logtop-pyc-0.7-r0.apk | 4.0 KiB | 2024-10-25 20:49:01 |
py3-logtop-0.7-r0.apk | 21.2 KiB | 2024-10-25 20:49:01 |
py3-logfury-pyc-1.0.1-r0.apk | 7.1 KiB | 2024-10-25 20:49:01 |
py3-logfury-doc-1.0.1-r0.apk | 2.4 KiB | 2024-10-25 20:49:01 |
py3-logfury-1.0.1-r0.apk | 7.9 KiB | 2024-10-25 20:49:01 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-10-25 20:49:01 |
py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-10-25 20:49:01 |
py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-11-25 22:22:50 |
py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-11-25 22:22:50 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-10-25 20:49:01 |
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk | 4.7 MiB | 2024-10-25 20:49:01 |
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk | 2.2 MiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk | 57.9 KiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk | 2.4 MiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk | 712.6 KiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-10-25 20:49:00 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk | 230.3 KiB | 2024-10-25 20:48:55 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk | 7.6 KiB | 2024-10-25 20:48:55 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-10-25 20:48:55 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk | 44.8 KiB | 2024-10-25 20:48:55 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk | 19.5 MiB | 2024-10-25 20:48:55 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk | 207.9 KiB | 2024-10-25 20:48:53 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-10-25 20:48:53 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-10-25 20:48:53 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-10-25 20:48:53 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-10-25 20:48:52 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk | 934.1 KiB | 2024-10-25 20:48:52 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk | 1.9 MiB | 2024-10-25 20:48:52 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk | 5.6 MiB | 2024-10-25 20:48:51 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-10-25 20:48:51 |
py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-10-25 20:48:50 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-10-25 20:48:50 |
py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-10-25 20:48:50 |
py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-12-25 19:27:23 |
py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-12-25 19:27:23 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.5 KiB | 2024-10-25 20:48:50 |
py3-librtmp-0.3.0-r6.apk | 36.2 KiB | 2024-10-25 20:48:50 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-10-25 20:48:50 |
py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-10-25 20:48:50 |
py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-12-08 21:36:56 |
py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-12-08 21:36:56 |
py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-10-25 20:48:50 |
py3-libacl-0.7.0-r2.apk | 26.0 KiB | 2024-10-25 20:48:50 |
py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-10-25 20:48:50 |
py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-10-25 20:48:50 |
py3-levenshtein-pyc-0.26.1-r0.apk | 9.3 KiB | 2024-12-20 05:38:21 |
py3-levenshtein-0.26.1-r0.apk | 160.6 KiB | 2024-12-20 05:38:21 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.9 KiB | 2024-10-25 20:48:50 |
py3-latex2mathml-3.77.0-r1.apk | 72.2 KiB | 2024-10-25 20:48:50 |
py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-12-01 20:08:14 |
py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-12-01 20:08:14 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.3 KiB | 2024-11-21 13:31:06 |
py3-langcodes-3.3.0-r2.apk | 172.8 KiB | 2024-11-21 13:31:06 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-10-25 20:48:50 |
py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-10-25 20:48:50 |
py3-kerberos-1.3.1-r5.apk | 17.4 KiB | 2024-10-25 20:48:50 |
py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-10-25 20:48:50 |
py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-10-25 20:48:50 |
py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-10-25 20:48:50 |
py3-kazoo-pyc-0_git20211202-r4.apk | 243.3 KiB | 2024-10-25 20:48:50 |
py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-10-25 20:48:50 |
py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-10-25 20:48:50 |
py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-10-25 20:48:50 |
py3-jsonschema417-pyc-4.17.3-r1.apk | 124.8 KiB | 2024-10-25 20:48:50 |
py3-jsonschema417-4.17.3-r1.apk | 73.3 KiB | 2024-10-25 20:48:50 |
py3-json5-pyc-0.9.25-r0.apk | 29.4 KiB | 2024-11-30 20:49:43 |
py3-json5-0.9.25-r0.apk | 25.0 KiB | 2024-11-30 20:49:43 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-10-25 20:48:50 |
py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-10-25 20:48:50 |
py3-jaraco.vcs-pyc-2.4.0-r0.apk | 15.1 KiB | 2024-10-25 20:48:50 |
py3-jaraco.vcs-2.4.0-r0.apk | 9.8 KiB | 2024-10-25 20:48:50 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-12-14 22:50:03 |
py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-12-14 22:50:03 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-10-25 20:48:50 |
py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-10-25 20:48:50 |
py3-jaraco.logging-pyc-3.3.0-r0.apk | 5.8 KiB | 2024-10-25 20:48:50 |
py3-jaraco.logging-3.3.0-r0.apk | 6.0 KiB | 2024-10-25 20:48:50 |
py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-12-13 04:57:50 |
py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-12-13 04:57:50 |
py3-itunespy-pyc-1.6-r4.apk | 14.8 KiB | 2024-10-25 20:48:50 |
py3-itunespy-1.6-r4.apk | 10.0 KiB | 2024-10-25 20:48:50 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-10-25 20:48:50 |
py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-10-25 20:48:50 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16.6 KiB | 2024-10-25 20:48:50 |
py3-itemloaders-1.3.2-r0.apk | 12.5 KiB | 2024-10-25 20:48:50 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-11-30 20:42:23 |
py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-11-30 20:42:23 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.7 KiB | 2024-10-25 20:48:50 |
py3-iso639-lang-2.2.3-r0.apk | 269.0 KiB | 2024-10-25 20:48:50 |
py3-irc-pyc-20.4.1-r0.apk | 70.8 KiB | 2024-10-25 20:48:50 |
py3-irc-20.4.1-r0.apk | 40.9 KiB | 2024-10-25 20:48:50 |
py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-10-25 20:48:50 |
py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-10-25 20:48:50 |
py3-iniparse-pyc-0.5-r7.apk | 24.7 KiB | 2024-10-25 20:48:50 |
py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-10-25 20:48:50 |
py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-10-25 20:48:50 |
py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-10-25 20:48:50 |
py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-10-25 20:48:50 |
py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-10-25 20:48:50 |
py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-10-25 20:48:50 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 242.2 KiB | 2024-10-25 20:48:50 |
py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-10-25 20:48:50 |
py3-imageio-pyc-2.35.1-r0.apk | 504.6 KiB | 2024-10-25 20:48:50 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.2 KiB | 2024-10-25 20:48:50 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-10-25 20:48:50 |
py3-imageio-2.35.1-r0.apk | 287.5 KiB | 2024-10-25 20:48:50 |
py3-igraph-pyc-0.11.8-r0.apk | 370.8 KiB | 2024-11-23 17:32:47 |
py3-igraph-dev-0.11.8-r0.apk | 2.5 KiB | 2024-11-23 17:32:47 |
py3-igraph-0.11.8-r0.apk | 409.1 KiB | 2024-11-23 17:32:47 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3.2 KiB | 2024-10-25 20:48:50 |
py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-10-25 20:48:50 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.2 KiB | 2024-10-25 20:48:50 |
py3-html5-parser-0.4.12-r1.apk | 173.3 KiB | 2024-10-25 20:48:50 |
py3-hishel-pyc-0.0.33-r0.apk | 73.0 KiB | 2024-10-25 20:48:50 |
py3-hishel-0.0.33-r0.apk | 33.8 KiB | 2024-10-25 20:48:50 |
py3-highctidh-pyc-1.0.2024092800-r0.apk | 11.6 KiB | 2024-11-25 19:57:34 |
py3-highctidh-1.0.2024092800-r0.apk | 341.6 KiB | 2024-11-25 19:57:34 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.5 KiB | 2024-10-25 20:48:50 |
py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-10-25 20:48:50 |
py3-hfst-3.16.0-r2.apk | 370.5 KiB | 2024-10-25 20:48:50 |
py3-helper-pyc-2.5.0-r5.apk | 28.1 KiB | 2024-10-25 20:48:49 |
py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-10-25 20:48:49 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 12.9 KiB | 2024-10-25 20:48:49 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.6 KiB | 2024-10-25 20:48:49 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-10-25 20:48:49 |
py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-10-25 20:48:49 |
py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-10-25 20:48:49 |
py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-10-25 20:48:49 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 29.6 KiB | 2024-12-07 20:33:56 |
py3-gtkspellcheck-5.0.3-r0.apk | 44.7 KiB | 2024-12-07 20:33:56 |
py3-grequests-pyc-0.7.0-r2.apk | 5.9 KiB | 2024-10-25 20:48:49 |
py3-grequests-0.7.0-r2.apk | 6.8 KiB | 2024-10-25 20:48:49 |
py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-10-25 20:48:49 |
py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-10-25 20:48:49 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.5 KiB | 2024-10-25 20:48:49 |
py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-10-25 20:48:49 |
py3-gnucash-5.10-r0.apk | 317.5 KiB | 2024-12-27 11:50:39 |
py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-10-25 20:48:49 |
py3-gls-1.3.1-r1.apk | 46.7 KiB | 2024-10-25 20:48:49 |
py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-10-25 20:48:49 |
py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-10-25 20:48:49 |
py3-github3-pyc-4.0.1-r1.apk | 227.1 KiB | 2024-10-25 20:48:49 |
py3-github3-4.0.1-r1.apk | 128.2 KiB | 2024-10-25 20:48:49 |
py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-10-25 20:48:49 |
py3-git-versioner-7.1-r1.apk | 11.9 KiB | 2024-10-25 20:48:49 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-10-25 20:48:49 |
py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-10-25 20:48:49 |
py3-geoip-1.3.2-r4.apk | 23.0 KiB | 2024-10-25 20:48:49 |
py3-fuzzywuzzy-pyc-0.18.0-r7.apk | 14.7 KiB | 2024-10-25 20:48:49 |
py3-fuzzywuzzy-0.18.0-r7.apk | 18.4 KiB | 2024-10-25 20:48:49 |
py3-furl-pyc-2.1.3-r4.apk | 32.3 KiB | 2024-10-25 20:48:49 |
py3-furl-2.1.3-r4.apk | 21.4 KiB | 2024-10-25 20:48:49 |
py3-funcparserlib-pyc-1.0.1-r4.apk | 18.6 KiB | 2024-10-25 20:48:49 |
py3-funcparserlib-1.0.1-r4.apk | 16.6 KiB | 2024-10-25 20:48:49 |
py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-10-25 20:48:49 |
py3-fpdf-pyc-1.7.2-r5.apk | 88.9 KiB | 2024-10-25 20:48:49 |
py3-fpdf-1.7.2-r5.apk | 40.3 KiB | 2024-10-25 20:48:49 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.6 KiB | 2024-10-25 20:48:49 |
py3-forbiddenfruit-0.1.4-r2.apk | 8.9 KiB | 2024-10-25 20:48:49 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-10-25 20:48:49 |
py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-10-25 20:48:49 |
py3-flask-security-pyc-5.4.3-r2.apk | 210.7 KiB | 2024-10-25 20:48:49 |
py3-flask-security-5.4.3-r2.apk | 267.0 KiB | 2024-10-25 20:48:49 |
py3-flask-restless-pyc-0.17.0-r9.apk | 59.0 KiB | 2024-10-25 20:48:49 |
py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-10-25 20:48:49 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.7 KiB | 2024-10-25 20:48:49 |
py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-10-25 20:48:49 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-12-12 06:32:08 |
py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-12-12 06:32:08 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-10-25 20:48:49 |
py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-10-25 20:48:49 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-10-25 20:48:49 |
py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-10-25 20:48:49 |
py3-flask-migrate-pyc-4.0.7-r0.apk | 18.1 KiB | 2024-10-25 20:48:49 |
py3-flask-migrate-4.0.7-r0.apk | 13.1 KiB | 2024-10-25 20:48:49 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.8 KiB | 2024-10-25 20:48:49 |
py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-10-25 20:48:49 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-10-25 20:48:49 |
py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-10-25 20:48:49 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-10-25 20:48:49 |
py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-10-25 20:48:49 |
py3-flask-limiter-pyc-3.9.2-r0.apk | 47.1 KiB | 2024-11-27 22:57:55 |
py3-flask-limiter-3.9.2-r0.apk | 26.6 KiB | 2024-11-27 22:57:55 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-10-25 20:48:49 |
py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-10-25 20:48:49 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.6 KiB | 2024-10-25 20:48:49 |
py3-flask-httpauth-4.8.0-r2.apk | 8.0 KiB | 2024-10-25 20:48:49 |
py3-flask-headers-pyc-1.0-r9.apk | 2.4 KiB | 2024-10-25 20:48:49 |
py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-10-25 20:48:49 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-10-25 20:48:49 |
py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-10-25 20:48:49 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-12-06 22:57:47 |
py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-12-06 22:57:47 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.2 KiB | 2024-10-25 20:48:49 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-10-25 20:48:49 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-10-25 20:48:49 |
py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-10-25 20:48:49 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-10-25 20:48:49 |
py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-10-25 20:48:49 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-10-25 20:48:49 |
py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-10-25 20:48:49 |
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk | 10.9 KiB | 2024-10-25 20:48:49 |
py3-flask-bootstrap-3.3.7.1-r8.apk | 449.8 KiB | 2024-10-25 20:48:49 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-10-25 20:48:49 |
py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-10-25 20:48:49 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-10-25 20:48:49 |
py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-10-25 20:48:49 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.9 KiB | 2024-10-25 20:48:49 |
py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-10-25 20:48:49 |
py3-flask-admin-pyc-1.6.1-r3.apk | 357.2 KiB | 2024-10-25 20:48:49 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-10-25 20:48:49 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3.7 KiB | 2024-10-25 20:48:48 |
py3-flask-accept-0.0.6-r1.apk | 5.0 KiB | 2024-10-25 20:48:48 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-10-25 20:48:48 |
py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-10-25 20:48:48 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.6 KiB | 2024-10-25 20:48:48 |
py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-10-25 20:48:48 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-10-25 20:48:48 |
py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-10-25 20:48:48 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-10-25 20:48:48 |
py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-10-25 20:48:48 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.3 KiB | 2024-10-25 20:48:48 |
py3-flake8-isort-6.1.1-r1.apk | 18.2 KiB | 2024-10-25 20:48:48 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-10-25 20:48:48 |
py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-10-25 20:48:48 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 5.9 KiB | 2024-10-25 20:48:48 |
py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-10-25 20:48:48 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-10-25 20:48:48 |
py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-10-25 20:48:48 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-12-07 21:51:30 |
py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-12-07 21:51:30 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-10-25 20:48:48 |
py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-10-25 20:48:48 |
py3-firmata-pyc-1.0.3-r10.apk | 20.8 KiB | 2024-10-25 20:48:48 |
py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-10-25 20:48:48 |
py3-findpython-pyc-0.6.2-r0.apk | 29.8 KiB | 2024-10-25 20:48:48 |
py3-findpython-0.6.2-r0.apk | 17.3 KiB | 2024-10-25 20:48:48 |
py3-ffmpeg-pyc-0.2.0-r4.apk | 32.8 KiB | 2024-10-25 20:48:48 |
py3-ffmpeg-0.2.0-r4.apk | 24.0 KiB | 2024-10-25 20:48:48 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 26.7 KiB | 2024-10-25 20:48:48 |
py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-10-25 20:48:48 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.6 KiB | 2024-10-25 20:48:48 |
py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-10-25 20:48:48 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-10-25 20:48:48 |
py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-10-25 20:48:48 |
py3-fastavro-pyc-1.10.0-r0.apk | 82.0 KiB | 2024-12-21 10:31:36 |
py3-fastavro-1.10.0-r0.apk | 419.2 KiB | 2024-12-21 10:31:36 |
py3-evohome-client-pyc-0.3.7-r4.apk | 27.0 KiB | 2024-10-25 20:48:48 |
py3-evohome-client-0.3.7-r4.apk | 19.0 KiB | 2024-10-25 20:48:48 |
py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-12-11 21:38:52 |
py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-12-11 21:38:52 |
py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-10-25 20:48:48 |
py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-10-25 20:48:48 |
py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-10-25 20:48:48 |
py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-10-25 20:48:48 |
py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-10-25 20:48:48 |
py3-enzyme-pyc-0.5.1-r0.apk | 19.0 KiB | 2024-10-25 20:48:48 |
py3-enzyme-0.5.1-r0.apk | 23.2 KiB | 2024-10-25 20:48:48 |
py3-empy-pyc-3.3.4-r7.apk | 58.3 KiB | 2024-10-25 20:48:48 |
py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-10-25 20:48:48 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-10-25 20:48:48 |
py3-editdistance-s-1.0.0-r6.apk | 14.4 KiB | 2024-10-25 20:48:48 |
py3-edalize-pyc-0.5.4-r0.apk | 189.8 KiB | 2024-10-25 20:48:48 |
py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-10-25 20:48:48 |
py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-10-25 20:48:48 |
py3-ecos-2.0.11-r4.apk | 27.6 KiB | 2024-10-25 20:48:48 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.2 KiB | 2024-10-25 20:48:48 |
py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-10-25 20:48:48 |
py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-10-25 20:48:48 |
py3-dunamai-pyc-1.23.0-r0.apk | 42.8 KiB | 2024-12-10 08:20:09 |
py3-dunamai-1.23.0-r0.apk | 26.2 KiB | 2024-12-10 08:20:09 |
py3-dt-schema-pyc-2024.11-r0.apk | 50.1 KiB | 2024-11-11 20:10:26 |
py3-dt-schema-2024.11-r0.apk | 77.9 KiB | 2024-11-11 20:10:26 |
py3-drf-yasg-pyc-1.21.7-r2.apk | 97.5 KiB | 2024-10-25 20:48:48 |
py3-drf-yasg-1.21.7-r2.apk | 4.1 MiB | 2024-10-25 20:48:48 |
py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-10-25 20:48:48 |
py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-10-25 20:48:48 |
py3-downloader-cli-pyc-0.3.4-r1.apk | 14.2 KiB | 2024-10-25 20:48:48 |
py3-downloader-cli-0.3.4-r1.apk | 11.5 KiB | 2024-10-25 20:48:48 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-10-25 20:48:48 |
py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-10-25 20:48:48 |
py3-dominate-pyc-2.9.1-r1.apk | 33.6 KiB | 2024-10-25 20:48:48 |
py3-dominate-2.9.1-r1.apk | 24.7 KiB | 2024-10-25 20:48:48 |
py3-doit-pyc-0.36.0-r5.apk | 133.4 KiB | 2024-10-25 20:48:48 |
py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-10-25 20:48:48 |
py3-dogpile.cache-pyc-1.3.3-r0.apk | 90.5 KiB | 2024-10-25 20:48:48 |
py3-dogpile.cache-1.3.3-r0.apk | 52.7 KiB | 2024-10-25 20:48:48 |
py3-dnslib-pyc-0.9.25-r0.apk | 108.9 KiB | 2024-10-25 20:48:48 |
py3-dnslib-0.9.25-r0.apk | 51.8 KiB | 2024-10-25 20:48:48 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4.9 KiB | 2024-10-25 20:48:48 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-10-25 20:48:48 |
py3-django-suit-pyc-0.2.28-r8.apk | 32.4 KiB | 2024-10-25 20:48:48 |
py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-10-25 20:48:48 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk | 14.9 KiB | 2024-10-25 20:48:48 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-10-25 20:48:48 |
py3-distorm3-pyc-3.5.2-r6.apk | 48.6 KiB | 2024-10-25 20:48:48 |
py3-distorm3-3.5.2-r6.apk | 47.6 KiB | 2024-10-25 20:48:48 |
py3-discid-pyc-1.2.0-r6.apk | 13.1 KiB | 2024-10-25 20:48:48 |
py3-discid-1.2.0-r6.apk | 23.8 KiB | 2024-10-25 20:48:48 |
py3-dexml-pyc-0.5.1-r9.apk | 37.4 KiB | 2024-10-25 20:48:48 |
py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-10-25 20:48:48 |
py3-dep-logic-pyc-0.4.10-r0.apk | 53.2 KiB | 2024-12-15 22:01:40 |
py3-dep-logic-0.4.10-r0.apk | 27.8 KiB | 2024-12-15 22:01:40 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19.6 KiB | 2024-10-25 20:48:48 |
py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-10-25 20:48:48 |
py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-10-25 20:48:48 |
py3-dbus-fast-pyc-2.24.4-r0.apk | 125.6 KiB | 2024-11-16 22:31:36 |
py3-dbus-fast-doc-2.24.4-r0.apk | 5.4 KiB | 2024-11-16 22:31:36 |
py3-dbus-fast-2.24.4-r0.apk | 589.7 KiB | 2024-11-16 22:31:36 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-10-25 20:48:48 |
py3-daterangestr-0.0.3-r8.apk | 4.3 KiB | 2024-10-25 20:48:48 |
py3-dateparser-pyc-1.2.0-r0.apk | 333.7 KiB | 2024-11-23 04:07:20 |
py3-dateparser-1.2.0-r0.apk | 196.6 KiB | 2024-11-23 04:07:20 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-10-25 20:48:48 |
py3-dataclasses-serialization-1.3.1-r3.apk | 10.9 KiB | 2024-10-25 20:48:47 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-10-25 20:48:47 |
py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-10-25 20:48:47 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1.8 KiB | 2024-10-25 20:48:47 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 17.8 KiB | 2024-10-25 20:48:47 |
py3-cvxpy-pyc-1.2.1-r5.apk | 934.6 KiB | 2024-10-25 20:48:47 |
py3-cvxpy-1.2.1-r5.apk | 676.1 KiB | 2024-10-25 20:48:47 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-12-01 20:13:58 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-12-01 20:13:58 |
py3-cstruct-pyc-5.3-r1.apk | 36.1 KiB | 2024-10-25 20:48:47 |
py3-cstruct-5.3-r1.apk | 22.0 KiB | 2024-10-25 20:48:47 |
py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-10-25 20:48:47 |
py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-10-25 20:48:47 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-10-25 20:48:47 |
py3-createrepo_c-1.1.4-r0.apk | 44.9 KiB | 2024-10-25 20:48:47 |
py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-10-25 20:48:47 |
py3-crc16-0.1.1-r10.apk | 11.7 KiB | 2024-10-25 20:48:47 |
py3-coreapi-pyc-2.3.3-r9.apk | 43.4 KiB | 2024-10-25 20:48:47 |
py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-10-25 20:48:47 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.4 KiB | 2024-10-25 20:48:47 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-10-25 20:48:47 |
py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-10-25 20:48:47 |
py3-compdb-pyc-0.2.0-r8.apk | 39.5 KiB | 2024-10-25 20:48:47 |
py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-10-25 20:48:47 |
py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-10-25 20:48:47 |
py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-10-25 20:48:47 |
py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-10-25 20:48:47 |
py3-colorthief-pyc-0.2.1-r1.apk | 10.0 KiB | 2024-10-25 20:48:47 |
py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-10-25 20:48:47 |
py3-colored-pyc-2.2.4-r0.apk | 23.7 KiB | 2024-12-13 19:44:21 |
py3-colored-2.2.4-r0.apk | 15.0 KiB | 2024-12-13 19:44:21 |
py3-colander-pyc-2.0-r2.apk | 42.4 KiB | 2024-10-25 20:48:47 |
py3-colander-2.0-r2.apk | 62.5 KiB | 2024-10-25 20:48:47 |
py3-cobs-pyc-1.2.0-r4.apk | 12.4 KiB | 2024-10-25 20:48:47 |
py3-cobs-1.2.0-r4.apk | 19.5 KiB | 2024-10-25 20:48:47 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.2 KiB | 2024-10-25 20:48:47 |
py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-10-25 20:48:47 |
py3-clickclick-pyc-20.10.2-r4.apk | 9.7 KiB | 2024-10-25 20:48:47 |
py3-clickclick-20.10.2-r4.apk | 7.9 KiB | 2024-10-25 20:48:47 |
py3-click-threading-pyc-0.5.0-r5.apk | 7.8 KiB | 2024-10-25 20:48:47 |
py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-10-25 20:48:47 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-10-25 20:48:47 |
py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-10-25 20:48:47 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-10-25 20:48:47 |
py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-10-25 20:48:47 |
py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-10-25 20:48:47 |
py3-class-doc-1.25-r1.apk | 6.0 KiB | 2024-10-25 20:48:47 |
py3-cjkwrap-pyc-2.2-r4.apk | 5.2 KiB | 2024-10-25 20:48:47 |
py3-cjkwrap-2.2-r4.apk | 4.6 KiB | 2024-10-25 20:48:47 |
py3-ciso8601-2.3.1-r1.apk | 15.8 KiB | 2024-10-25 20:48:47 |
py3-chameleon-pyc-4.5.4-r0.apk | 130.9 KiB | 2024-10-25 20:48:47 |
py3-chameleon-4.5.4-r0.apk | 97.5 KiB | 2024-10-25 20:48:47 |
py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-10-25 20:48:47 |
py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-10-25 20:48:47 |
py3-cdio-pyc-2.1.1-r5.apk | 43.3 KiB | 2024-10-25 20:48:47 |
py3-cdio-2.1.1-r5.apk | 102.5 KiB | 2024-10-25 20:48:47 |
py3-cchardet-pyc-2.1.7-r5.apk | 3.0 KiB | 2024-10-25 20:48:47 |
py3-cchardet-2.1.7-r5.apk | 126.3 KiB | 2024-10-25 20:48:47 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.9 KiB | 2024-10-25 20:48:47 |
py3-catkin-pkg-0.5.2-r4.apk | 57.2 KiB | 2024-10-25 20:48:47 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-10-25 20:48:47 |
py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-10-25 20:48:47 |
py3-caldav-pyc-1.4.0-r0.apk | 90.4 KiB | 2024-11-09 18:51:19 |
py3-caldav-1.4.0-r0.apk | 67.7 KiB | 2024-11-09 18:51:19 |
py3-c3d-pyc-0.5.2-r1.apk | 53.6 KiB | 2024-10-25 20:48:47 |
py3-c3d-0.5.2-r1.apk | 32.0 KiB | 2024-10-25 20:48:47 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-10-25 20:48:47 |
py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-10-25 20:48:47 |
py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-10-25 20:48:47 |
py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-10-25 20:48:47 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.2 KiB | 2024-10-25 20:48:47 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-10-25 20:48:47 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-10-25 20:48:47 |
py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-10-25 20:48:47 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-10-25 20:48:47 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-10-25 20:48:47 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-10-25 20:48:47 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-10-25 20:48:47 |
py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-10-25 20:48:47 |
py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-10-25 20:48:47 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5.1 KiB | 2024-10-25 20:48:47 |
py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-10-25 20:48:47 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-10-25 20:48:47 |
py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-10-25 20:48:47 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-10-25 20:48:47 |
py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-10-25 20:48:47 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-10-25 20:48:47 |
py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-10-25 20:48:47 |
py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-10-25 20:48:47 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-10-25 20:48:47 |
py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-10-25 20:48:47 |
py3-bookkeeper-pyc-4.17.1-r0.apk | 66.6 KiB | 2024-10-25 20:48:47 |
py3-bookkeeper-4.17.1-r0.apk | 42.9 KiB | 2024-10-25 20:48:47 |
py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-01-10 13:25:20 |
py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-01-10 13:25:20 |
py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-01-10 13:25:20 |
py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-10-25 20:48:47 |
py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-10-25 20:48:47 |
py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-10-25 20:48:47 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-10-25 20:48:47 |
py3-bitstruct-8.19.0-r1.apk | 35.4 KiB | 2024-10-25 20:48:47 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-10-28 21:51:28 |
py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-10-28 21:51:28 |
py3-bidict-pyc-0.23.1-r1.apk | 28.7 KiB | 2024-10-25 20:48:47 |
py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-10-25 20:48:47 |
py3-bencode-pyc-4.0.0-r1.apk | 10.4 KiB | 2024-10-25 20:48:47 |
py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-10-25 20:48:47 |
py3-beartype-pyc-0.19.0-r0.apk | 595.5 KiB | 2024-10-25 20:48:47 |
py3-beartype-0.19.0-r0.apk | 827.3 KiB | 2024-10-25 20:48:47 |
py3-base58-2.1.1-r2.apk | 11.1 KiB | 2024-10-25 20:48:47 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.2 KiB | 2024-10-25 20:48:47 |
py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-10-25 20:48:47 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-10-25 20:48:47 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-10-25 20:48:47 |
py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-10-25 20:48:47 |
py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-10-25 20:48:47 |
py3-b2sdk-pyc-2.7.0-r0.apk | 401.5 KiB | 2024-12-20 06:00:53 |
py3-b2sdk-2.7.0-r0.apk | 214.6 KiB | 2024-12-20 06:00:53 |
py3-asysocks-pyc-0.2.13-r0.apk | 231.6 KiB | 2024-10-25 20:48:47 |
py3-asysocks-0.2.13-r0.apk | 87.0 KiB | 2024-10-25 20:48:47 |
py3-async-lru-pyc-2.0.4-r1.apk | 8.6 KiB | 2024-10-25 20:48:47 |
py3-async-lru-2.0.4-r1.apk | 7.3 KiB | 2024-10-25 20:48:47 |
py3-asyauth-pyc-0.0.21-r0.apk | 171.4 KiB | 2024-10-25 20:48:47 |
py3-asyauth-0.0.21-r0.apk | 79.5 KiB | 2024-10-25 20:48:47 |
py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-10-25 20:48:47 |
py3-astral-3.2-r3.apk | 37.3 KiB | 2024-10-25 20:48:47 |
py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-10-25 20:48:47 |
py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-10-25 20:48:47 |
py3-asif-pyc-0.3.2-r3.apk | 25.8 KiB | 2024-10-25 20:48:47 |
py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-10-25 20:48:47 |
py3-arcus-5.3.0-r1.apk | 86.8 KiB | 2024-10-25 20:48:47 |
py3-apsw-pyc-3.47.2.0-r0.apk | 516.4 KiB | 2024-12-16 07:31:16 |
py3-apsw-3.47.2.0-r0.apk | 833.2 KiB | 2024-12-16 07:31:16 |
py3-apio-pyc-0.9.5-r0.apk | 77.1 KiB | 2024-10-25 20:48:47 |
py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-10-25 20:48:47 |
py3-apicula-pyc-0.11.1-r1.apk | 178.4 KiB | 2024-10-25 20:48:47 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-10-25 20:48:47 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-10-25 20:48:46 |
py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-10-25 20:48:46 |
py3-ansi2html-pyc-1.9.2-r0.apk | 21.9 KiB | 2024-10-25 20:48:46 |
py3-ansi2html-1.9.2-r0.apk | 17.6 KiB | 2024-10-25 20:48:46 |
py3-altgraph-pyc-0.17.4-r1.apk | 29.0 KiB | 2024-10-25 20:48:46 |
py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-10-25 20:48:46 |
py3-allfiles-pyc-1.0-r8.apk | 3.2 KiB | 2024-10-25 20:48:46 |
py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-10-25 20:48:46 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 672.8 KiB | 2024-10-25 20:48:46 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-10-25 20:48:46 |
py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-10-25 20:48:46 |
py3-aiowinreg-pyc-0.0.12-r0.apk | 45.3 KiB | 2024-10-25 20:48:46 |
py3-aiowinreg-0.0.12-r0.apk | 23.1 KiB | 2024-10-25 20:48:46 |
py3-aiosmb-pyc-0.4.11-r0.apk | 1.1 MiB | 2024-10-25 20:48:46 |
py3-aiosmb-0.4.11-r0.apk | 604.6 KiB | 2024-10-25 20:48:46 |
py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-10-25 20:48:46 |
py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-10-25 20:48:46 |
py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-10-25 20:48:46 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-10-25 20:48:46 |
py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-11-04 12:28:11 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-11-04 12:28:11 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.1 KiB | 2024-10-25 20:48:46 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-10-25 20:48:46 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.3 KiB | 2024-10-25 20:48:46 |
py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-10-25 20:48:46 |
py3-agithub-pyc-2.2.2-r6.apk | 21.6 KiB | 2024-10-25 20:48:46 |
py3-agithub-2.2.2-r6.apk | 18.5 KiB | 2024-10-25 20:48:46 |
py3-aesedb-pyc-0.1.6-r2.apk | 75.6 KiB | 2024-10-25 20:48:46 |
py3-aesedb-0.1.6-r2.apk | 37.2 KiB | 2024-10-25 20:48:46 |
py3-actdiag-pyc-3.0.0-r5.apk | 21.4 KiB | 2024-10-25 20:48:46 |
py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-10-25 20:48:46 |
pxmenu-1.0.0-r1.apk | 2.8 KiB | 2024-10-25 20:48:46 |
pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-10-25 20:48:46 |
pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-10-25 20:48:46 |
pwauth-2.3.11-r2.apk | 3.7 KiB | 2024-10-25 20:48:46 |
purple-hangouts-0_git20200422-r0.apk | 231.8 KiB | 2024-10-25 20:48:46 |
purple-facebook-0.9.6-r0.apk | 76.2 KiB | 2024-10-25 20:48:46 |
pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-10-25 20:48:46 |
pulseview-0.4.2-r8.apk | 946.2 KiB | 2024-10-25 20:48:46 |
pulsar-client-cpp-dev-3.1.2-r4.apk | 54.6 KiB | 2024-10-25 20:48:46 |
pulsar-client-cpp-3.1.2-r4.apk | 1.3 MiB | 2024-10-25 20:48:46 |
pully-openrc-1.0.0-r0.apk | 1.9 KiB | 2024-10-25 20:48:46 |
pully-1.0.0-r0.apk | 2.8 KiB | 2024-10-25 20:48:46 |
ptyxis-lang-47.6-r0.apk | 239.1 KiB | 2024-12-06 22:37:25 |
ptyxis-doc-47.6-r0.apk | 2.9 KiB | 2024-12-06 22:37:25 |
ptyxis-47.6-r0.apk | 264.3 KiB | 2024-12-06 22:37:25 |
ptylie-doc-0.2-r1.apk | 3.1 KiB | 2024-10-25 20:48:46 |
ptylie-0.2-r1.apk | 11.6 KiB | 2024-10-25 20:48:46 |
ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-10-25 20:48:46 |
ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-10-25 20:48:46 |
ptpd-2.3.1-r1.apk | 178.3 KiB | 2024-10-25 20:48:46 |
psst-0_git20240526-r1.apk | 7.5 MiB | 2024-10-25 20:48:46 |
pspp-doc-2.0.1-r0.apk | 9.0 KiB | 2024-10-25 20:48:45 |
pspp-dbg-2.0.1-r0.apk | 4.4 MiB | 2024-10-25 20:48:45 |
pspp-2.0.1-r0.apk | 17.0 MiB | 2024-10-25 20:48:45 |
psi-notify-1.3.1-r0.apk | 10.4 KiB | 2024-10-25 20:48:44 |
psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-10-25 20:48:44 |
psftools-dev-1.1.2-r0.apk | 89.5 KiB | 2024-10-25 20:48:44 |
psftools-1.1.2-r0.apk | 260.2 KiB | 2024-10-25 20:48:44 |
protoconf-0.1.7-r8.apk | 7.1 MiB | 2024-10-25 20:48:44 |
protoc-gen-go-1.36.1-r0.apk | 1.9 MiB | 2025-01-03 15:52:11 |
prosody-modules-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-10-25 20:48:43 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:48:43 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.3 KiB | 2024-10-25 20:48:43 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.8 KiB | 2024-10-25 20:48:43 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 3.0 KiB | 2024-10-25 20:48:43 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 20:48:43 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:48:43 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:48:43 |
prosody-mod-register_redirect-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.7 KiB | 2024-10-25 20:48:43 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk | 7.1 KiB | 2024-10-25 20:48:43 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.8 KiB | 2024-10-25 20:48:43 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 6.1 KiB | 2024-10-25 20:48:43 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.4 KiB | 2024-10-25 20:48:43 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:48:43 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:48:43 |
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-10-25 20:48:43 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-10-25 20:48:43 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.5 KiB | 2024-10-25 20:48:43 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.4 KiB | 2024-10-25 20:48:43 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:48:43 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 2.1 KiB | 2024-10-25 20:48:43 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-10-25 20:48:43 |
prosody-mod-block_registrations-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:48:43 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 20:48:43 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:48:43 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 3.0 KiB | 2024-10-25 20:48:43 |
prometheus-unbound-exporter-openrc-0.4.6-r0.apk | 2.0 KiB | 2024-10-25 20:48:43 |
prometheus-unbound-exporter-0.4.6-r0.apk | 3.3 MiB | 2024-10-25 20:48:43 |
prometheus-smokeping-prober-openrc-0.7.1-r7.apk | 2.0 KiB | 2024-10-25 20:48:43 |
prometheus-smokeping-prober-0.7.1-r7.apk | 4.2 MiB | 2024-10-25 20:48:43 |
prometheus-smartctl-exporter-openrc-0.13.0-r0.apk | 1.8 KiB | 2024-12-20 15:45:39 |
prometheus-smartctl-exporter-0.13.0-r0.apk | 4.2 MiB | 2024-12-20 15:45:39 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk | 1.7 KiB | 2024-10-25 20:48:43 |
prometheus-rethinkdb-exporter-1.0.1-r23.apk | 3.8 MiB | 2024-10-25 20:48:43 |
prometheus-podman-exporter-1.13.3-r0.apk | 14.1 MiB | 2024-11-20 20:58:55 |
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk | 2.1 KiB | 2024-10-25 20:48:42 |
prometheus-opnsense-exporter-0.0.5-r0.apk | 4.1 MiB | 2024-10-25 20:48:42 |
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk | 2.0 KiB | 2024-10-25 20:48:41 |
prometheus-ipmi-exporter-doc-1.8.0-r0.apk | 6.6 KiB | 2024-10-25 20:48:41 |
prometheus-ipmi-exporter-1.8.0-r0.apk | 3.9 MiB | 2024-10-25 20:48:41 |
prometheus-ceph-exporter-openrc-4.2.4-r1.apk | 1.8 KiB | 2024-10-25 20:48:41 |
prometheus-ceph-exporter-4.2.4-r1.apk | 3.3 MiB | 2024-10-25 20:48:41 |
prometheus-bind-exporter-openrc-0.7.0-r6.apk | 1.9 KiB | 2024-10-25 20:48:41 |
prometheus-bind-exporter-0.7.0-r6.apk | 4.2 MiB | 2024-10-25 20:48:41 |
projectsandcastle-loader-0_git20200307-r1.apk | 5.0 KiB | 2024-10-25 20:48:41 |
projectm-sdl-3.1.12-r2.apk | 326.4 KiB | 2024-10-25 20:48:41 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-10-25 20:48:41 |
projectm-pulseaudio-3.1.12-r2.apk | 412.7 KiB | 2024-10-25 20:48:41 |
projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-10-25 20:48:41 |
projectm-dev-3.1.12-r2.apk | 979.8 KiB | 2024-10-25 20:48:40 |
projectm-3.1.12-r2.apk | 441.8 KiB | 2024-10-25 20:48:40 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-10-25 20:48:40 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-10-25 20:48:40 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.3 KiB | 2024-10-25 20:48:40 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-10-25 20:48:40 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-10-25 20:48:40 |
prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-10-25 20:48:40 |
prjtrellis-1.4-r2.apk | 1.2 MiB | 2024-10-25 20:48:40 |
primesieve-libs-12.6-r0.apk | 115.0 KiB | 2024-12-14 18:19:12 |
primesieve-doc-12.6-r0.apk | 4.0 KiB | 2024-12-14 18:19:12 |
primesieve-dev-12.6-r0.apk | 2.6 MiB | 2024-12-14 18:19:12 |
primesieve-12.6-r0.apk | 42.9 KiB | 2024-12-14 18:19:11 |
primecount-libs-7.14-r0.apk | 139.2 KiB | 2024-10-25 20:48:40 |
primecount-doc-7.14-r0.apk | 3.9 KiB | 2024-10-25 20:48:40 |
primecount-dev-7.14-r0.apk | 4.2 MiB | 2024-10-25 20:48:40 |
primecount-7.14-r0.apk | 29.6 KiB | 2024-10-25 20:48:39 |
prettier-doc-3.4.2-r0.apk | 20.7 KiB | 2024-12-10 08:20:09 |
prettier-3.4.2-r0.apk | 1.9 MiB | 2024-12-10 08:20:09 |
predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-11-23 18:56:53 |
predict-2.3.1-r0.apk | 92.0 KiB | 2024-11-23 18:56:53 |
pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-10-25 20:48:39 |
pqiv-2.12-r1.apk | 64.0 KiB | 2024-10-25 20:48:39 |
pptpclient-doc-1.10.0-r5.apk | 7.2 KiB | 2024-10-25 20:48:39 |
pptpclient-1.10.0-r5.apk | 32.8 KiB | 2024-10-25 20:48:39 |
ppl-doc-1.2-r1.apk | 9.1 MiB | 2024-10-25 20:48:39 |
ppl-dev-1.2-r1.apk | 614.3 KiB | 2024-10-25 20:48:39 |
ppl-1.2-r1.apk | 37.9 KiB | 2024-10-25 20:48:39 |
powerstat-doc-0.04.01-r0.apk | 4.2 KiB | 2024-10-25 20:48:39 |
powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-10-25 20:48:39 |
powerstat-0.04.01-r0.apk | 18.6 KiB | 2024-10-25 20:48:39 |
powder-toy-97.0.352-r1.apk | 844.9 KiB | 2025-01-10 13:25:20 |
pounce-openrc-3.1-r3.apk | 2.8 KiB | 2024-10-25 20:48:39 |
pounce-doc-3.1-r3.apk | 8.5 KiB | 2024-10-25 20:48:39 |
pounce-3.1-r3.apk | 29.8 KiB | 2024-10-25 20:48:39 |
postgresql16-wal2json-2.6-r0.apk | 68.9 KiB | 2024-10-25 20:48:39 |
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk | 53.8 KiB | 2024-10-25 20:48:39 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 23.8 KiB | 2024-10-25 20:48:39 |
postgresql-pg_partman-scripts-5.0.0-r0.apk | 7.7 KiB | 2024-10-25 20:48:39 |
postgresql-pg_partman-doc-5.0.0-r0.apk | 47.4 KiB | 2024-10-25 20:48:39 |
postgresql-pg_partman-bitcode-5.0.0-r0.apk | 22.0 KiB | 2024-10-25 20:48:39 |
postgresql-pg_partman-5.0.0-r0.apk | 970.7 KiB | 2024-10-25 20:48:39 |
postgresql-hll-bitcode-2.18-r0.apk | 55.4 KiB | 2024-10-25 20:48:38 |
postgresql-hll-2.18-r0.apk | 26.9 KiB | 2024-10-25 20:48:38 |
portsmf-dev-239-r1.apk | 20.3 KiB | 2024-10-25 20:48:38 |
portsmf-239-r1.apk | 57.1 KiB | 2024-10-25 20:48:38 |
porla-openrc-0.41.0-r1.apk | 2.7 KiB | 2024-12-05 20:57:48 |
porla-doc-0.41.0-r1.apk | 2.2 KiB | 2024-12-05 20:57:48 |
porla-0.41.0-r1.apk | 3.4 MiB | 2024-12-05 20:57:48 |
popeye-0.21.6-r0.apk | 24.8 MiB | 2025-01-03 15:52:11 |
pongoos-loader-0_git20210704-r1.apk | 2.3 KiB | 2024-10-25 20:48:36 |
pomo-doc-0.8.1-r18.apk | 2.7 KiB | 2024-10-25 20:48:36 |
pomo-0.8.1-r18.apk | 1.5 MiB | 2024-10-25 20:48:36 |
polyglot-doc-2.0.4-r1.apk | 47.6 KiB | 2024-10-25 20:48:36 |
polyglot-2.0.4-r1.apk | 65.7 KiB | 2024-10-25 20:48:36 |
policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-10-25 20:48:36 |
policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-10-25 20:48:36 |
policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-10-25 20:48:36 |
policycoreutils-3.6-r1.apk | 56.3 KiB | 2024-10-25 20:48:36 |
pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-10-25 20:48:36 |
pokoy-0.2.5-r0.apk | 10.6 KiB | 2024-10-25 20:48:36 |
poke-doc-4.2-r0.apk | 200.6 KiB | 2024-10-25 20:48:36 |
poke-4.2-r0.apk | 1.4 MiB | 2024-10-25 20:48:36 |
pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-10-25 20:48:36 |
pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-10-25 20:48:36 |
pnmixer-0.7.2-r3.apk | 140.9 KiB | 2024-10-25 20:48:36 |
pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-10-25 20:48:36 |
pmccabe-2.8-r1.apk | 25.7 KiB | 2024-10-25 20:48:36 |
plzip-doc-1.11-r1.apk | 16.2 KiB | 2024-10-25 20:48:36 |
plzip-1.11-r1.apk | 45.0 KiB | 2024-10-25 20:48:36 |
plplot-libs-5.15.0-r2.apk | 198.7 KiB | 2024-10-25 20:48:36 |
plplot-doc-5.15.0-r2.apk | 310.5 KiB | 2024-10-25 20:48:36 |
plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-10-25 20:48:36 |
plplot-5.15.0-r2.apk | 31.1 KiB | 2024-10-25 20:48:36 |
plib-1.8.5-r3.apk | 1.3 MiB | 2024-10-25 20:48:36 |
plfit-static-1.0.1-r0.apk | 83.1 KiB | 2025-01-04 03:47:51 |
plfit-libs-1.0.1-r0.apk | 53.9 KiB | 2025-01-04 03:47:51 |
plfit-dev-1.0.1-r0.apk | 6.5 KiB | 2025-01-04 03:47:51 |
plfit-1.0.1-r0.apk | 68.3 KiB | 2025-01-04 03:47:51 |
platformio-core-pyc-6.1.7-r3.apk | 550.3 KiB | 2024-10-25 20:48:36 |
platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-10-25 20:48:36 |
planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-12-08 21:36:56 |
planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-12-08 21:36:56 |
planner-0.14.92-r1.apk | 347.8 KiB | 2024-12-08 21:36:56 |
planarity-libs-3.0.2.0-r2.apk | 74.3 KiB | 2024-10-25 20:48:35 |
planarity-doc-3.0.2.0-r2.apk | 12.9 KiB | 2024-10-25 20:48:35 |
planarity-dev-3.0.2.0-r2.apk | 19.3 KiB | 2024-10-25 20:48:35 |
planarity-3.0.2.0-r2.apk | 9.2 KiB | 2024-10-25 20:48:35 |
pixiewps-doc-1.4.2-r1.apk | 3.4 KiB | 2024-10-25 20:48:35 |
pixiewps-1.4.2-r1.apk | 56.0 KiB | 2024-10-25 20:48:35 |
pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-12-22 22:04:38 |
pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-12-22 22:04:38 |
pitivi-2023.03-r2.apk | 2.7 MiB | 2024-12-22 22:04:38 |
pithos-pyc-1.6.1-r0.apk | 147.1 KiB | 2024-10-25 20:48:35 |
pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-10-25 20:48:35 |
pithos-1.6.1-r0.apk | 104.4 KiB | 2024-10-25 20:48:35 |
pipeline-lang-2.1.0-r0.apk | 58.7 KiB | 2024-12-07 03:53:02 |
pipeline-doc-2.1.0-r0.apk | 13.5 KiB | 2024-12-07 03:53:02 |
pipeline-2.1.0-r0.apk | 1.7 MiB | 2024-12-07 03:53:02 |
pipectl-doc-0.4.1-r1.apk | 2.9 KiB | 2024-10-25 20:48:35 |
pipectl-0.4.1-r1.apk | 5.9 KiB | 2024-10-25 20:48:35 |
pinentry-bemenu-0.13.1-r0.apk | 8.9 KiB | 2024-10-25 20:48:35 |
pimd-openrc-3.0_git20220201-r0.apk | 1.8 KiB | 2024-10-25 20:48:35 |
pimd-doc-3.0_git20220201-r0.apk | 35.0 KiB | 2024-10-25 20:48:35 |
pimd-dense-openrc-2.1.0-r0.apk | 1.8 KiB | 2024-10-25 20:48:35 |
pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-10-25 20:48:35 |
pimd-dense-2.1.0-r0.apk | 53.1 KiB | 2024-10-25 20:48:35 |
pimd-3.0_git20220201-r0.apk | 84.6 KiB | 2024-10-25 20:48:35 |
piglit-0_git20241106-r0.apk | 89.3 MiB | 2024-11-08 06:21:41 |
pict-rs-openrc-0.5.16-r1.apk | 1.9 KiB | 2024-10-25 20:48:25 |
pict-rs-0.5.16-r1.apk | 6.2 MiB | 2024-10-25 20:48:25 |
pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-10-25 20:48:24 |
pick-4.0.0-r0.apk | 9.9 KiB | 2024-10-25 20:48:24 |
phpactor-2024.06.30.0-r0.apk | 3.8 MiB | 2024-10-25 20:48:24 |
php84-pecl-uv-0.3.0-r0.apk | 53.9 KiB | 2024-10-28 12:47:13 |
php84-pecl-solr-2.8.0-r0.apk | 92.9 KiB | 2025-01-03 15:52:10 |
php84-pecl-oauth-2.0.9-r0.apk | 36.7 KiB | 2024-10-25 20:48:24 |
php84-pecl-ev-1.2.0-r1.apk | 43.1 KiB | 2024-10-25 20:48:23 |
php83-pecl-zmq-1.1.4-r0.apk | 32.1 KiB | 2024-10-25 20:48:18 |
php83-pecl-vld-0.18.0-r1.apk | 16.5 KiB | 2024-10-25 20:48:18 |
php83-pecl-uv-0.3.0-r0.apk | 53.8 KiB | 2024-10-25 20:48:18 |
php83-pecl-phpy-1.0.8-r0.apk | 41.0 KiB | 2024-10-25 20:48:18 |
php83-pecl-oauth-2.0.9-r0.apk | 36.7 KiB | 2024-10-25 20:48:18 |
php83-pecl-jsmin-3.0.0-r0.apk | 10.9 KiB | 2024-10-25 20:48:18 |
php83-pecl-excimer-1.2.3-r0.apk | 21.9 KiB | 2024-12-04 02:05:36 |
php83-pecl-ev-1.2.0-r0.apk | 43.0 KiB | 2024-10-25 20:48:18 |
php83-pecl-eio-3.1.3-r0.apk | 30.3 KiB | 2024-10-25 20:48:18 |
php83-pecl-apfd-1.0.3-r0.apk | 4.5 KiB | 2024-10-25 20:48:18 |
php82-snappy-0.2.1-r1.apk | 5.3 KiB | 2024-10-25 20:48:18 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 61.1 KiB | 2024-11-24 16:57:12 |
php82-pecl-vld-0.18.0-r0.apk | 16.5 KiB | 2024-10-25 20:48:18 |
php82-pecl-teds-1.3.0-r0.apk | 132.5 KiB | 2024-10-25 20:48:18 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31.3 KiB | 2024-10-25 20:48:18 |
php82-pecl-oauth-2.0.9-r0.apk | 36.6 KiB | 2024-10-25 20:48:18 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 40.3 KiB | 2024-10-25 20:48:18 |
php82-pecl-excimer-1.2.3-r0.apk | 21.9 KiB | 2024-12-04 02:05:36 |
php82-pecl-apfd-1.0.3-r0.apk | 4.5 KiB | 2024-10-25 20:48:18 |
php82-pdlib-1.1.0-r1.apk | 495.0 KiB | 2024-10-25 20:48:18 |
php81-zip-8.1.31-r0.apk | 23.9 KiB | 2024-11-20 02:44:40 |
php81-xsl-8.1.31-r0.apk | 12.7 KiB | 2024-11-20 02:44:40 |
php81-xmlwriter-8.1.31-r0.apk | 11.9 KiB | 2024-11-20 02:44:40 |
php81-xmlreader-8.1.31-r0.apk | 12.4 KiB | 2024-11-20 02:44:40 |
php81-xml-8.1.31-r0.apk | 18.0 KiB | 2024-11-20 02:44:40 |
php81-tokenizer-8.1.31-r0.apk | 11.4 KiB | 2024-11-20 02:44:40 |
php81-tidy-8.1.31-r0.apk | 18.2 KiB | 2024-11-20 02:44:40 |
php81-tideways_xhprof-5.0.4-r1.apk | 13.6 KiB | 2024-10-25 20:48:18 |
php81-sysvshm-8.1.31-r0.apk | 6.4 KiB | 2024-11-20 02:44:40 |
php81-sysvsem-8.1.31-r0.apk | 5.6 KiB | 2024-11-20 02:44:40 |
php81-sysvmsg-8.1.31-r0.apk | 7.3 KiB | 2024-11-20 02:44:40 |
php81-sqlite3-8.1.31-r0.apk | 19.9 KiB | 2024-11-20 02:44:40 |
php81-sodium-8.1.31-r0.apk | 24.3 KiB | 2024-11-20 02:44:40 |
php81-sockets-8.1.31-r0.apk | 33.9 KiB | 2024-11-20 02:44:40 |
php81-soap-8.1.31-r0.apk | 125.7 KiB | 2024-11-20 02:44:40 |
php81-snmp-8.1.31-r0.apk | 20.0 KiB | 2024-11-20 02:44:40 |
php81-simplexml-8.1.31-r0.apk | 21.2 KiB | 2024-11-20 02:44:40 |
php81-shmop-8.1.31-r0.apk | 5.9 KiB | 2024-11-20 02:44:40 |
php81-session-8.1.31-r0.apk | 33.9 KiB | 2024-11-20 02:44:40 |
php81-pspell-8.1.31-r0.apk | 7.7 KiB | 2024-11-20 02:44:40 |
php81-posix-8.1.31-r0.apk | 10.6 KiB | 2024-11-20 02:44:40 |
php81-phpdbg-8.1.31-r0.apk | 1.8 MiB | 2024-11-20 02:44:40 |
php81-phar-8.1.31-r0.apk | 113.9 KiB | 2024-11-20 02:44:40 |
php81-pgsql-8.1.31-r0.apk | 42.4 KiB | 2024-11-20 02:44:40 |
php81-pecl-zstd-0.14.0-r0.apk | 14.4 KiB | 2024-11-06 14:44:45 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 61.1 KiB | 2024-11-24 16:57:12 |
php81-pecl-yaml-2.2.4-r0.apk | 19.2 KiB | 2024-10-25 20:48:18 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 36.2 KiB | 2024-10-25 20:48:18 |
php81-pecl-xlswriter-1.5.8-r0.apk | 230.1 KiB | 2024-11-11 01:44:45 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-10-25 20:48:18 |
php81-pecl-xhprof-2.3.10-r0.apk | 12.8 KiB | 2024-10-25 20:48:18 |
php81-pecl-xdebug-3.4.1-r0.apk | 144.6 KiB | 2025-01-07 04:43:16 |
php81-pecl-vips-1.0.13-r0.apk | 17.3 KiB | 2024-10-25 20:48:18 |
php81-pecl-uuid-1.2.1-r0.apk | 6.7 KiB | 2024-10-25 20:48:18 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-10-25 20:48:18 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.6 KiB | 2024-10-25 20:48:18 |
php81-pecl-timezonedb-2024.2-r0.apk | 191.7 KiB | 2024-10-25 20:48:18 |
php81-pecl-swoole-dev-6.0.0-r0.apk | 183.2 KiB | 2024-12-17 04:59:59 |
php81-pecl-swoole-6.0.0-r0.apk | 896.9 KiB | 2024-12-17 04:59:59 |
php81-pecl-ssh2-1.4.1-r0.apk | 28.7 KiB | 2024-10-25 20:48:17 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 21.5 KiB | 2024-12-10 19:02:12 |
php81-pecl-redis-6.1.0-r0.apk | 211.6 KiB | 2024-10-25 20:48:17 |
php81-pecl-rdkafka-6.0.5-r0.apk | 38.7 KiB | 2024-11-04 12:52:23 |
php81-pecl-psr-1.2.0-r0.apk | 19.2 KiB | 2024-10-25 20:48:17 |
php81-pecl-protobuf-4.29.3-r0.apk | 145.7 KiB | 2025-01-09 22:18:29 |
php81-pecl-pcov-1.0.12-r0.apk | 9.9 KiB | 2024-12-04 17:17:45 |
php81-pecl-opentelemetry-1.1.0-r0.apk | 13.1 KiB | 2024-10-25 20:48:17 |
php81-pecl-oauth-2.0.9-r0.apk | 36.3 KiB | 2024-10-25 20:48:17 |
php81-pecl-msgpack-3.0.0-r0.apk | 27.7 KiB | 2024-10-25 20:48:17 |
php81-pecl-mongodb-1.20.1-r0.apk | 835.2 KiB | 2024-11-27 16:47:33 |
php81-pecl-memprof-3.0.2-r0.apk | 13.6 KiB | 2024-10-25 20:48:17 |
php81-pecl-memcached-3.3.0-r0.apk | 48.3 KiB | 2024-10-25 20:48:17 |
php81-pecl-memcache-8.2-r1.apk | 44.9 KiB | 2024-10-25 20:48:17 |
php81-pecl-mcrypt-1.0.7-r0.apk | 15.5 KiB | 2024-10-25 20:48:17 |
php81-pecl-maxminddb-1.12.0-r0.apk | 8.2 KiB | 2024-11-15 17:16:23 |
php81-pecl-mailparse-3.1.8-r0.apk | 24.4 KiB | 2024-10-25 20:48:17 |
php81-pecl-lzf-1.7.0-r0.apk | 7.5 KiB | 2024-10-25 20:48:17 |
php81-pecl-luasandbox-4.1.2-r0.apk | 31.1 KiB | 2024-10-25 20:48:17 |
php81-pecl-jsmin-3.0.0-r0.apk | 10.9 KiB | 2024-10-25 20:48:17 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 39.9 KiB | 2024-10-25 20:48:17 |
php81-pecl-imagick-dev-3.7.0-r5.apk | 2.3 KiB | 2024-10-25 20:48:17 |
php81-pecl-imagick-3.7.0-r5.apk | 115.1 KiB | 2024-10-25 20:48:17 |
php81-pecl-igbinary-3.2.16-r0.apk | 35.3 KiB | 2024-10-25 20:48:17 |
php81-pecl-event-3.1.4-r0.apk | 52.3 KiB | 2024-10-25 20:48:17 |
php81-pecl-ds-1.5.0-r0.apk | 62.7 KiB | 2024-10-25 20:48:17 |
php81-pecl-decimal-1.5.0-r1.apk | 18.9 KiB | 2024-10-25 20:48:17 |
php81-pecl-csv-0.4.2-r0.apk | 10.2 KiB | 2024-10-25 20:48:17 |
php81-pecl-brotli-0.15.2-r0.apk | 12.0 KiB | 2024-11-08 20:36:25 |
php81-pecl-ast-1.1.2-r0.apk | 21.0 KiB | 2024-10-25 20:48:17 |
php81-pecl-apcu-5.1.24-r0.apk | 56.2 KiB | 2024-10-25 20:48:17 |
php81-pecl-amqp-2.1.2-r0.apk | 58.8 KiB | 2024-10-25 20:48:17 |
php81-pear-8.1.31-r0.apk | 337.9 KiB | 2024-11-20 02:44:40 |
php81-pdo_sqlite-8.1.31-r0.apk | 12.1 KiB | 2024-11-20 02:44:40 |
php81-pdo_pgsql-8.1.31-r0.apk | 17.9 KiB | 2024-11-20 02:44:40 |
php81-pdo_odbc-8.1.31-r0.apk | 12.1 KiB | 2024-11-20 02:44:40 |
php81-pdo_mysql-8.1.31-r0.apk | 12.4 KiB | 2024-11-20 02:44:40 |
php81-pdo_dblib-8.1.31-r0.apk | 11.3 KiB | 2024-11-20 02:44:40 |
php81-pdo-8.1.31-r0.apk | 40.3 KiB | 2024-11-20 02:44:40 |
php81-pcntl-8.1.31-r0.apk | 12.9 KiB | 2024-11-20 02:44:40 |
php81-openssl-8.1.31-r0.apk | 68.0 KiB | 2024-11-20 02:44:40 |
php81-opcache-8.1.31-r0.apk | 65.8 KiB | 2024-11-20 02:44:40 |
php81-odbc-8.1.31-r0.apk | 22.5 KiB | 2024-11-20 02:44:40 |
php81-mysqlnd-8.1.31-r0.apk | 78.1 KiB | 2024-11-20 02:44:40 |
php81-mysqli-8.1.31-r0.apk | 39.6 KiB | 2024-11-20 02:44:40 |
php81-mbstring-8.1.31-r0.apk | 570.8 KiB | 2024-11-20 02:44:40 |
php81-litespeed-8.1.31-r0.apk | 1.8 MiB | 2024-11-20 02:44:40 |
php81-ldap-8.1.31-r0.apk | 30.9 KiB | 2024-11-20 02:44:40 |
php81-intl-8.1.31-r0.apk | 136.5 KiB | 2024-11-20 02:44:40 |
php81-imap-8.1.31-r0.apk | 31.8 KiB | 2024-11-20 02:44:40 |
php81-iconv-8.1.31-r0.apk | 16.8 KiB | 2024-11-20 02:44:40 |
php81-gmp-8.1.31-r0.apk | 19.8 KiB | 2024-11-20 02:44:40 |
php81-gettext-8.1.31-r0.apk | 5.8 KiB | 2024-11-20 02:44:40 |
php81-gd-8.1.31-r0.apk | 122.8 KiB | 2024-11-20 02:44:40 |
php81-ftp-8.1.31-r0.apk | 21.5 KiB | 2024-11-20 02:44:40 |
php81-fpm-8.1.31-r0.apk | 1.8 MiB | 2024-11-20 02:44:40 |
php81-fileinfo-8.1.31-r0.apk | 376.3 KiB | 2024-11-20 02:44:39 |
php81-ffi-8.1.31-r0.apk | 75.1 KiB | 2024-11-20 02:44:39 |
php81-exif-8.1.31-r0.apk | 33.2 KiB | 2024-11-20 02:44:39 |
php81-enchant-8.1.31-r0.apk | 8.1 KiB | 2024-11-20 02:44:39 |
php81-embed-8.1.31-r0.apk | 1.7 MiB | 2024-11-20 02:44:39 |
php81-dom-8.1.31-r0.apk | 56.0 KiB | 2024-11-20 02:44:39 |
php81-doc-8.1.31-r0.apk | 67.6 KiB | 2024-11-20 02:44:39 |
php81-dev-8.1.31-r0.apk | 938.8 KiB | 2024-11-20 02:44:39 |
php81-dba-8.1.31-r0.apk | 20.5 KiB | 2024-11-20 02:44:39 |
php81-curl-8.1.31-r0.apk | 35.1 KiB | 2024-11-20 02:44:39 |
php81-ctype-8.1.31-r0.apk | 4.7 KiB | 2024-11-20 02:44:39 |
php81-common-8.1.31-r0.apk | 25.1 KiB | 2024-11-20 02:44:39 |
php81-cgi-8.1.31-r0.apk | 1.7 MiB | 2024-11-20 02:44:39 |
php81-calendar-8.1.31-r0.apk | 13.5 KiB | 2024-11-20 02:44:39 |
php81-bz2-8.1.31-r0.apk | 9.7 KiB | 2024-11-20 02:44:39 |
php81-bcmath-8.1.31-r0.apk | 15.4 KiB | 2024-11-20 02:44:39 |
php81-apache2-8.1.31-r0.apk | 1.7 MiB | 2024-11-20 02:44:39 |
php81-8.1.31-r0.apk | 1.8 MiB | 2024-11-20 02:44:39 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-10-25 20:48:15 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.8 KiB | 2024-10-25 20:48:15 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-10-25 20:48:15 |
pfetch-doc-1.7.0-r0.apk | 5.5 KiB | 2025-01-03 18:51:32 |
pfetch-1.7.0-r0.apk | 23.1 KiB | 2025-01-03 18:51:32 |
persistent-cache-cpp-doc-1.0.7-r2.apk | 3.2 KiB | 2024-10-25 20:48:15 |
persistent-cache-cpp-dev-1.0.7-r2.apk | 18.1 KiB | 2024-10-25 20:48:15 |
persistent-cache-cpp-1.0.7-r2.apk | 45.4 KiB | 2024-10-25 20:48:15 |
perl-xml-stream-doc-1.24-r0.apk | 17.7 KiB | 2024-10-25 20:48:15 |
perl-xml-stream-1.24-r0.apk | 44.0 KiB | 2024-10-25 20:48:15 |
perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-10-25 20:48:15 |
perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-10-25 20:48:15 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-10-25 20:48:15 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-10-25 20:48:15 |
perl-xml-feed-doc-0.65-r0.apk | 12.4 KiB | 2024-10-25 20:48:15 |
perl-xml-feed-0.65-r0.apk | 13.8 KiB | 2024-10-25 20:48:15 |
perl-xml-bare-doc-0.53-r13.apk | 11.4 KiB | 2024-10-25 20:48:15 |
perl-xml-bare-0.53-r13.apk | 28.8 KiB | 2024-10-25 20:48:15 |
perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-10-25 20:48:15 |
perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-10-25 20:48:15 |
perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-10-25 20:48:15 |
perl-x-tiny-0.22-r0.apk | 6.8 KiB | 2024-10-25 20:48:15 |
perl-variable-disposition-doc-0.005-r0.apk | 5.6 KiB | 2024-10-25 20:48:15 |
perl-variable-disposition-0.005-r0.apk | 3.2 KiB | 2024-10-25 20:48:15 |
perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-10-25 20:48:15 |
perl-url-encode-0.03-r4.apk | 5.1 KiB | 2024-10-25 20:48:15 |
perl-uri-tcp-doc-2.0.0-r0.apk | 4.9 KiB | 2024-10-25 20:48:15 |
perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-10-25 20:48:15 |
perl-uri-redis-doc-0.02-r0.apk | 4.6 KiB | 2024-10-25 20:48:15 |
perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-10-25 20:48:15 |
perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-10-25 20:48:15 |
perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-10-25 20:48:15 |
perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-10-25 20:48:15 |
perl-uri-fetch-0.15-r0.apk | 7.0 KiB | 2024-10-25 20:48:15 |
perl-uri-db-doc-0.23-r0.apk | 8.4 KiB | 2025-01-09 05:27:06 |
perl-uri-db-0.23-r0.apk | 10.9 KiB | 2025-01-09 05:27:06 |
perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-10-25 20:48:15 |
perl-types-path-tiny-0.006-r0.apk | 3.9 KiB | 2024-10-25 20:48:15 |
perl-time-timegm-doc-0.01-r9.apk | 3.8 KiB | 2024-10-25 20:48:15 |
perl-time-timegm-0.01-r9.apk | 6.7 KiB | 2024-10-25 20:48:15 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-10-25 20:48:15 |
perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-10-25 20:48:15 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-10-25 20:48:15 |
perl-time-moment-role-strptime-0.001-r0.apk | 2.8 KiB | 2024-10-25 20:48:15 |
perl-time-moment-doc-0.44-r0.apk | 30.9 KiB | 2024-10-25 20:48:15 |
perl-time-moment-0.44-r0.apk | 39.6 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.1 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk | 3.8 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk | 4.6 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-10-25 20:48:15 |
perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-10-25 20:48:15 |
perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-10-25 20:48:14 |
perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-10-25 20:48:14 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-10-25 20:48:14 |
perl-text-table-sprintf-0.008-r0.apk | 5.3 KiB | 2024-10-25 20:48:14 |
perl-text-table-any-doc-0.117-r0.apk | 6.6 KiB | 2024-10-25 20:48:14 |
perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-10-25 20:48:14 |
perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-10-25 20:48:14 |
perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-10-25 20:48:14 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-10-25 20:48:14 |
perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-10-25 20:48:14 |
perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-11-16 15:08:49 |
perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-11-16 15:08:49 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.8 KiB | 2024-10-25 20:48:14 |
perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-10-25 20:48:14 |
perl-test-unit-doc-0.27-r0.apk | 48.3 KiB | 2024-10-25 20:48:14 |
perl-test-unit-0.27-r0.apk | 36.8 KiB | 2024-10-25 20:48:14 |
perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-10-25 20:48:14 |
perl-test-trap-0.3.5-r1.apk | 19.8 KiB | 2024-10-25 20:48:14 |
perl-test-toolbox-doc-0.4-r5.apk | 6.2 KiB | 2024-10-25 20:48:14 |
perl-test-toolbox-0.4-r5.apk | 9.8 KiB | 2024-10-25 20:48:14 |
perl-test-timer-doc-2.12-r2.apk | 8.4 KiB | 2024-10-25 20:48:14 |
perl-test-timer-2.12-r2.apk | 8.9 KiB | 2024-10-25 20:48:14 |
perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-10-25 20:48:14 |
perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-10-25 20:48:14 |
perl-test-roo-doc-1.004-r3.apk | 15.4 KiB | 2024-10-25 20:48:14 |
perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-10-25 20:48:14 |
perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-10-25 20:48:14 |
perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-10-25 20:48:14 |
perl-test-redisserver-doc-0.23-r0.apk | 4.1 KiB | 2024-10-25 20:48:14 |
perl-test-redisserver-0.23-r0.apk | 5.0 KiB | 2024-10-25 20:48:14 |
perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-10-25 20:48:14 |
perl-test-modern-doc-0.013-r3.apk | 9.8 KiB | 2024-10-25 20:48:14 |
perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-10-25 20:48:14 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-10-25 20:48:14 |
perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-10-25 20:48:14 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-10-25 20:48:14 |
perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-10-25 20:48:14 |
perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-10-25 20:48:14 |
perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-10-25 20:48:14 |
perl-test-file-doc-1.993-r1.apk | 6.8 KiB | 2024-10-25 20:48:14 |
perl-test-file-1.993-r1.apk | 11.7 KiB | 2024-10-25 20:48:14 |
perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-10-25 20:48:14 |
perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-10-25 20:48:14 |
perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-10-25 20:48:14 |
perl-test-distribution-2.00-r1.apk | 7.7 KiB | 2024-10-25 20:48:14 |
perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-10-25 20:48:14 |
perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-10-25 20:48:14 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-10-25 20:48:14 |
perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-10-25 20:48:14 |
perl-test-api-doc-0.010-r2.apk | 4.2 KiB | 2024-10-25 20:48:14 |
perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-10-25 20:48:14 |
perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-10-25 20:48:14 |
perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-10-25 20:48:14 |
perl-term-size-doc-0.211-r4.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-term-size-0.211-r4.apk | 5.7 KiB | 2024-10-25 20:48:14 |
perl-template-tiny-doc-1.14-r0.apk | 4.7 KiB | 2024-12-15 10:09:06 |
perl-template-tiny-1.14-r0.apk | 5.2 KiB | 2024-12-15 10:09:06 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-10-25 20:48:14 |
perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-10-25 20:48:14 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-10-25 20:48:14 |
perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-10-25 20:48:14 |
perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-10-25 20:48:14 |
perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-10-25 20:48:14 |
perl-sys-virt-doc-10.6.0-r0.apk | 98.9 KiB | 2024-10-25 20:48:14 |
perl-sys-virt-10.6.0-r0.apk | 201.3 KiB | 2024-10-25 20:48:14 |
perl-sys-syscall-doc-0.25-r10.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-sys-syscall-0.25-r10.apk | 5.3 KiB | 2024-10-25 20:48:14 |
perl-syntax-operator-in-doc-0.10-r0.apk | 5.8 KiB | 2024-10-25 20:48:14 |
perl-syntax-operator-in-0.10-r0.apk | 9.7 KiB | 2024-10-25 20:48:14 |
perl-syntax-operator-equ-doc-0.10-r0.apk | 6.5 KiB | 2024-10-25 20:48:14 |
perl-syntax-operator-equ-0.10-r0.apk | 8.0 KiB | 2024-10-25 20:48:14 |
perl-syntax-keyword-match-doc-0.15-r0.apk | 7.8 KiB | 2024-10-25 20:48:14 |
perl-syntax-keyword-match-0.15-r0.apk | 13.7 KiB | 2024-10-25 20:48:14 |
perl-string-random-doc-0.32-r2.apk | 6.2 KiB | 2024-10-25 20:48:14 |
perl-string-random-0.32-r2.apk | 7.9 KiB | 2024-10-25 20:48:14 |
perl-string-crc32-doc-2.100-r4.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-string-crc32-2.100-r4.apk | 7.0 KiB | 2024-10-25 20:48:14 |
perl-string-compare-constanttime-doc-0.321-r6.apk | 5.2 KiB | 2024-10-25 20:48:14 |
perl-string-compare-constanttime-0.321-r6.apk | 7.2 KiB | 2024-10-25 20:48:14 |
perl-string-camelcase-doc-0.04-r2.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-10-25 20:48:14 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-10-25 20:48:14 |
perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-10-25 20:48:14 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-10-25 20:48:14 |
perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-10-25 20:48:14 |
perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-10-25 20:48:14 |
perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-10-25 20:48:14 |
perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-10-25 20:48:14 |
perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-10-25 20:48:14 |
perl-sql-abstract-more-doc-1.43-r0.apk | 16.5 KiB | 2024-12-30 06:37:33 |
perl-sql-abstract-more-1.43-r0.apk | 27.5 KiB | 2024-12-30 06:37:33 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-10-25 20:48:14 |
perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-10-25 20:48:14 |
perl-sort-versions-doc-1.62-r0.apk | 4.1 KiB | 2024-10-25 20:48:14 |
perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-sort-naturally-doc-1.03-r4.apk | 5.4 KiB | 2024-10-25 20:48:14 |
perl-sort-naturally-1.03-r4.apk | 8.7 KiB | 2024-10-25 20:48:14 |
perl-soap-lite-doc-1.27-r5.apk | 90.4 KiB | 2024-10-25 20:48:14 |
perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-10-25 20:48:14 |
perl-snmp-info-doc-3.972002-r0.apk | 378.8 KiB | 2024-10-30 06:01:04 |
perl-snmp-info-3.972002-r0.apk | 332.7 KiB | 2024-10-30 06:01:04 |
perl-snmp-doc-5.0404-r13.apk | 14.0 KiB | 2024-10-25 20:48:14 |
perl-snmp-5.0404-r13.apk | 69.5 KiB | 2024-10-25 20:48:14 |
perl-signature-attribute-checked-doc-0.06-r0.apk | 4.6 KiB | 2024-10-25 20:48:14 |
perl-signature-attribute-checked-0.06-r0.apk | 7.7 KiB | 2024-10-25 20:48:14 |
perl-session-storage-secure-doc-1.000-r2.apk | 7.4 KiB | 2024-10-25 20:48:14 |
perl-session-storage-secure-1.000-r2.apk | 8.8 KiB | 2024-10-25 20:48:14 |
perl-sentinel-doc-0.07-r1.apk | 4.2 KiB | 2024-10-25 20:48:14 |
perl-sentinel-0.07-r1.apk | 7.4 KiB | 2024-10-25 20:48:14 |
perl-scalar-readonly-doc-0.03-r1.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-scalar-readonly-0.03-r1.apk | 5.3 KiB | 2024-10-25 20:48:14 |
perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-10-25 20:48:14 |
perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-10-25 20:48:14 |
perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-10-25 20:48:14 |
perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-10-25 20:48:14 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-10-25 20:48:14 |
perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-10-25 20:48:14 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-10-25 20:48:14 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-10-25 20:48:14 |
perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-10-25 20:48:14 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.9 KiB | 2024-10-25 20:48:14 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-10-25 20:48:14 |
perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-10-25 20:48:14 |
perl-role-eventemitter-doc-0.003-r0.apk | 3.9 KiB | 2024-10-25 20:48:14 |
perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-regexp-grammars-doc-1.058-r0.apk | 47.8 KiB | 2024-10-25 20:48:14 |
perl-regexp-grammars-1.058-r0.apk | 65.6 KiB | 2024-10-25 20:48:14 |
perl-ref-util-xs-doc-0.117-r8.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-ref-util-xs-0.117-r8.apk | 9.9 KiB | 2024-10-25 20:48:14 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-10-25 20:48:14 |
perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-10-25 20:48:14 |
perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-10-25 20:48:14 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 35.7 KiB | 2024-10-25 20:48:14 |
perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-10-25 20:48:14 |
perl-promise-xs-doc-0.20-r1.apk | 8.7 KiB | 2024-10-25 20:48:14 |
perl-promise-xs-0.20-r1.apk | 24.7 KiB | 2024-10-25 20:48:14 |
perl-promise-me-doc-0.5.0-r0.apk | 12.0 KiB | 2024-10-25 20:48:14 |
perl-promise-me-0.5.0-r0.apk | 25.6 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-io-async-0.28-r0.apk | 2.9 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-10-25 20:48:14 |
perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-10-25 20:48:14 |
perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-10-25 20:48:14 |
perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-10-25 20:48:14 |
perl-ppi-xs-doc-0.910-r1.apk | 3.4 KiB | 2024-10-25 20:48:14 |
perl-ppi-xs-0.910-r1.apk | 5.8 KiB | 2024-10-25 20:48:14 |
perl-pod-tidy-doc-0.10-r1.apk | 10.5 KiB | 2024-10-25 20:48:14 |
perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-10-25 20:48:14 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-10-25 20:48:14 |
perl-pod-cpandoc-0.16-r6.apk | 4.5 KiB | 2024-10-25 20:48:14 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.0 KiB | 2024-10-25 20:48:14 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.1 KiB | 2024-10-25 20:48:14 |
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk | 3.1 KiB | 2024-12-27 14:02:19 |
perl-plack-middleware-removeredundantbody-0.09-r0.apk | 2.5 KiB | 2024-12-27 14:02:19 |
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk | 3.1 KiB | 2024-12-26 09:36:42 |
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk | 3.1 KiB | 2024-12-26 09:36:42 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-10-25 20:48:14 |
perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-10-25 20:48:14 |
perl-perlio-locale-doc-0.10-r12.apk | 3.0 KiB | 2024-10-25 20:48:14 |
perl-perlio-locale-0.10-r12.apk | 4.5 KiB | 2024-10-25 20:48:14 |
perl-path-iter-doc-0.2-r3.apk | 5.1 KiB | 2024-10-25 20:48:14 |
perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-10-25 20:48:14 |
perl-pango-doc-1.227-r11.apk | 80.9 KiB | 2024-10-25 20:48:14 |
perl-pango-1.227-r11.apk | 80.8 KiB | 2024-10-25 20:48:14 |
perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-10-25 20:48:14 |
perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-10-25 20:48:14 |
perl-openapi-client-doc-1.07-r0.apk | 7.3 KiB | 2024-10-25 20:48:14 |
perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-10-25 20:48:14 |
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk | 4.5 KiB | 2024-10-25 20:48:14 |
perl-object-pad-fieldattr-checked-0.12-r0.apk | 8.4 KiB | 2024-10-25 20:48:14 |
perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-10-25 20:48:14 |
perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-10-25 20:48:14 |
perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-10-25 20:48:14 |
perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-10-25 20:48:14 |
perl-number-misc-doc-1.2-r5.apk | 4.4 KiB | 2024-10-25 20:48:14 |
perl-number-misc-1.2-r5.apk | 5.2 KiB | 2024-10-25 20:48:14 |
perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-10-25 20:48:14 |
perl-number-format-1.76-r1.apk | 15.2 KiB | 2024-10-25 20:48:14 |
perl-nice-try-doc-1.3.15-r0.apk | 12.1 KiB | 2024-11-07 02:17:05 |
perl-nice-try-1.3.15-r0.apk | 27.5 KiB | 2024-11-07 02:17:05 |
perl-netaddr-mac-doc-0.98-r1.apk | 8.0 KiB | 2024-10-25 20:48:14 |
perl-netaddr-mac-0.98-r1.apk | 10.8 KiB | 2024-10-25 20:48:14 |
perl-net-xmpp-doc-1.05-r0.apk | 43.7 KiB | 2024-10-25 20:48:14 |
perl-net-xmpp-1.05-r0.apk | 57.6 KiB | 2024-10-25 20:48:14 |
perl-net-patricia-doc-1.22-r12.apk | 6.0 KiB | 2024-10-25 20:48:14 |
perl-net-patricia-1.22-r12.apk | 21.4 KiB | 2024-10-25 20:48:14 |
perl-net-netmask-doc-2.0002-r2.apk | 8.5 KiB | 2024-10-25 20:48:14 |
perl-net-netmask-2.0002-r2.apk | 13.8 KiB | 2024-10-25 20:48:14 |
perl-net-jabber-doc-2.0-r0.apk | 48.7 KiB | 2024-10-25 20:48:14 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.6 KiB | 2024-10-25 20:48:14 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.5 KiB | 2024-10-25 20:48:14 |
perl-net-jabber-2.0-r0.apk | 50.6 KiB | 2024-10-25 20:48:14 |
perl-net-irr-doc-0.10-r0.apk | 5.1 KiB | 2024-10-25 20:48:14 |
perl-net-irr-0.10-r0.apk | 5.4 KiB | 2024-10-25 20:48:14 |
perl-net-idn-encode-doc-2.500-r1.apk | 21.7 KiB | 2024-10-25 20:48:14 |
perl-net-idn-encode-2.500-r1.apk | 83.5 KiB | 2024-10-25 20:48:14 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-10-25 20:48:14 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-10-25 20:48:14 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-10-25 20:48:14 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-10-25 20:48:14 |
perl-net-curl-promiser-0.20-r0.apk | 8.9 KiB | 2024-10-25 20:48:14 |
perl-net-curl-doc-0.56-r1.apk | 39.3 KiB | 2024-10-25 20:48:14 |
perl-net-curl-0.56-r1.apk | 62.4 KiB | 2024-10-25 20:48:14 |
perl-net-async-redis-xs-doc-1.001-r1.apk | 5.3 KiB | 2024-10-25 20:48:14 |
perl-net-async-redis-xs-1.001-r1.apk | 9.1 KiB | 2024-10-25 20:48:14 |
perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-12-11 16:22:16 |
perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-12-11 16:22:16 |
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk | 9.7 KiB | 2024-10-25 20:48:13 |
perl-net-amqp-rabbitmq-2.40012-r0.apk | 80.9 KiB | 2024-10-25 20:48:13 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-10-25 20:48:13 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.4 KiB | 2024-10-25 20:48:13 |
perl-musicbrainz-discid-doc-0.06-r1.apk | 4.3 KiB | 2024-10-25 20:48:13 |
perl-musicbrainz-discid-0.06-r1.apk | 9.2 KiB | 2024-10-25 20:48:13 |
perl-multidimensional-doc-0.014-r0.apk | 3.1 KiB | 2024-10-25 20:48:13 |
perl-multidimensional-0.014-r0.apk | 4.8 KiB | 2024-10-25 20:48:13 |
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk | 33.8 KiB | 2024-10-25 20:48:13 |
perl-mojolicious-plugin-openapi-5.09-r0.apk | 28.6 KiB | 2024-10-25 20:48:13 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.4 KiB | 2024-10-25 20:48:13 |
perl-mojo-sqlite-3.009-r0.apk | 15.9 KiB | 2024-10-25 20:48:13 |
perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-10-25 20:48:13 |
perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-10-25 20:48:13 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-10-25 20:48:13 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-10-25 20:48:13 |
perl-module-generic-doc-0.37.7-r0.apk | 201.3 KiB | 2024-11-01 14:27:44 |
perl-module-generic-0.37.7-r0.apk | 252.8 KiB | 2024-11-01 14:27:44 |
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk | 3.8 KiB | 2024-10-25 20:48:13 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-10-25 20:48:13 |
perl-minion-doc-10.31-r0.apk | 49.0 KiB | 2024-10-25 20:48:13 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-10-25 20:48:13 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-10-25 20:48:13 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-10-25 20:48:13 |
perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-10-25 20:48:13 |
perl-minion-backend-pg-10.31-r0.apk | 9.6 KiB | 2024-10-25 20:48:13 |
perl-minion-10.31-r0.apk | 1.5 MiB | 2024-10-25 20:48:13 |
perl-mce-doc-1.901-r0.apk | 169.7 KiB | 2025-01-03 15:52:10 |
perl-mce-1.901-r0.apk | 134.3 KiB | 2025-01-03 15:52:10 |
perl-math-random-isaac-xs-doc-1.004-r8.apk | 3.8 KiB | 2024-10-25 20:48:13 |
perl-math-random-isaac-xs-1.004-r8.apk | 7.9 KiB | 2024-10-25 20:48:13 |
perl-math-random-doc-0.72-r0.apk | 10.8 KiB | 2024-10-25 20:48:13 |
perl-math-random-0.72-r0.apk | 35.6 KiB | 2024-10-25 20:48:13 |
perl-math-libm-doc-1.00-r14.apk | 3.1 KiB | 2024-10-25 20:48:13 |
perl-math-libm-1.00-r14.apk | 10.4 KiB | 2024-10-25 20:48:13 |
perl-math-int64-doc-0.57-r1.apk | 10.4 KiB | 2024-10-25 20:48:13 |
perl-math-int64-0.57-r1.apk | 28.7 KiB | 2024-10-25 20:48:13 |
perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-10-25 20:48:13 |
perl-mastodon-client-0.017-r0.apk | 22.0 KiB | 2024-10-25 20:48:13 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.6 KiB | 2024-10-25 20:48:13 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-10-25 20:48:13 |
perl-lwp-online-doc-1.08-r0.apk | 5.7 KiB | 2024-10-25 20:48:13 |
perl-lwp-online-1.08-r0.apk | 6.1 KiB | 2024-10-25 20:48:13 |
perl-lv-doc-0.006-r0.apk | 4.0 KiB | 2024-10-25 20:48:13 |
perl-lv-backend-sentinel-0.006-r0.apk | 2.0 KiB | 2024-10-25 20:48:13 |
perl-lv-backend-magic-0.006-r0.apk | 2.1 KiB | 2024-10-25 20:48:13 |
perl-lv-0.006-r0.apk | 4.0 KiB | 2024-10-25 20:48:13 |
perl-log-message-simple-doc-0.10-r3.apk | 3.9 KiB | 2024-10-25 20:48:13 |
perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-10-25 20:48:13 |
perl-log-message-doc-0.08-r3.apk | 12.1 KiB | 2024-10-25 20:48:13 |
perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-10-25 20:48:13 |
perl-log-fu-doc-0.31-r4.apk | 7.2 KiB | 2024-10-25 20:48:13 |
perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-10-25 20:48:13 |
perl-list-keywords-doc-0.11-r0.apk | 5.5 KiB | 2024-10-25 20:48:13 |
perl-list-keywords-0.11-r0.apk | 14.9 KiB | 2024-10-25 20:48:13 |
perl-list-binarysearch-xs-doc-0.09-r1.apk | 8.1 KiB | 2024-10-25 20:48:13 |
perl-list-binarysearch-xs-0.09-r1.apk | 12.5 KiB | 2024-10-25 20:48:13 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-10-25 20:48:13 |
perl-list-binarysearch-0.25-r0.apk | 9.9 KiB | 2024-10-25 20:48:13 |
perl-linux-pid-doc-0.04-r13.apk | 3.0 KiB | 2024-10-25 20:48:13 |
perl-linux-pid-0.04-r13.apk | 4.7 KiB | 2024-10-25 20:48:13 |
perl-libintl-perl-doc-1.34-r0.apk | 571.9 KiB | 2025-01-10 13:25:20 |
perl-libintl-perl-1.34-r0.apk | 304.9 KiB | 2025-01-10 13:25:20 |
perl-libapreq2-doc-2.17-r2.apk | 37.1 KiB | 2024-10-25 20:48:13 |
perl-libapreq2-dev-2.17-r2.apk | 81.2 KiB | 2024-10-25 20:48:13 |
perl-libapreq2-2.17-r2.apk | 102.9 KiB | 2024-10-25 20:48:13 |
perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-10-25 20:48:13 |
perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-10-25 20:48:13 |
perl-json-validator-doc-5.14-r0.apk | 33.2 KiB | 2024-10-25 20:48:13 |
perl-json-validator-5.14-r0.apk | 59.3 KiB | 2024-10-25 20:48:13 |
perl-json-path-doc-1.0.6-r0.apk | 12.6 KiB | 2024-10-25 20:48:13 |
perl-json-path-1.0.6-r0.apk | 16.2 KiB | 2024-10-25 20:48:13 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.5 KiB | 2024-10-25 20:48:13 |
perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-10-25 20:48:13 |
perl-io-sessiondata-1.03-r3.apk | 5.7 KiB | 2024-10-25 20:48:13 |
perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-10-25 20:48:13 |
perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-10-25 20:48:13 |
perl-indirect-doc-0.39-r1.apk | 6.3 KiB | 2024-10-25 20:48:13 |
perl-indirect-0.39-r1.apk | 16.0 KiB | 2024-10-25 20:48:13 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-10-25 20:48:13 |
perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-10-25 20:48:13 |
perl-http-xsheaders-doc-0.400005-r1.apk | 6.3 KiB | 2024-10-25 20:48:13 |
perl-http-xsheaders-0.400005-r1.apk | 20.9 KiB | 2024-10-25 20:48:13 |
perl-http-thin-doc-0.006-r0.apk | 3.4 KiB | 2024-10-25 20:48:13 |
perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-10-25 20:48:13 |
perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-10-25 20:48:13 |
perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-10-25 20:48:13 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4.1 KiB | 2024-10-25 20:48:13 |
perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-10-25 20:48:13 |
perl-html-object-doc-0.5.1-r0.apk | 471.9 KiB | 2024-10-25 20:48:13 |
perl-html-object-0.5.1-r0.apk | 348.3 KiB | 2024-10-25 20:48:13 |
perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-10-25 20:48:13 |
perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-10-25 20:48:13 |
perl-guard-doc-1.023-r9.apk | 5.3 KiB | 2024-10-25 20:48:13 |
perl-guard-1.023-r9.apk | 8.5 KiB | 2024-10-25 20:48:13 |
perl-gtk3-doc-0.038-r1.apk | 9.1 KiB | 2024-10-25 20:48:13 |
perl-gtk3-0.038-r1.apk | 19.6 KiB | 2024-10-25 20:48:13 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-10-25 20:48:13 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-10-25 20:48:13 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-10-25 20:48:13 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.7 KiB | 2024-10-25 20:48:13 |
perl-gtk2-doc-1.24993-r6.apk | 670.4 KiB | 2024-10-25 20:48:13 |
perl-gtk2-1.24993-r6.apk | 874.1 KiB | 2024-10-25 20:48:13 |
perl-graphql-client-doc-0.605-r0.apk | 13.9 KiB | 2024-10-25 20:48:12 |
perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-10-25 20:48:12 |
perl-graphql-client-0.605-r0.apk | 7.1 KiB | 2024-10-25 20:48:12 |
perl-glib-object-introspection-doc-0.051-r1.apk | 11.1 KiB | 2024-10-25 20:48:12 |
perl-glib-object-introspection-0.051-r1.apk | 60.2 KiB | 2024-10-25 20:48:12 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-10-25 20:48:12 |
perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-10-25 20:48:12 |
perl-git-version-compare-doc-1.005-r0.apk | 4.8 KiB | 2024-10-25 20:48:12 |
perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-10-25 20:48:12 |
perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-10-25 20:48:12 |
perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-10-25 20:48:12 |
perl-git-raw-doc-0.90-r2.apk | 117.2 KiB | 2024-11-22 17:31:33 |
perl-git-raw-0.90-r2.apk | 171.5 KiB | 2024-11-22 17:31:33 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-10-25 20:48:12 |
perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-10-25 20:48:12 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-12-31 09:40:00 |
perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-12-31 09:40:00 |
perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-10-25 20:48:12 |
perl-gearman-2.004.015-r3.apk | 27.4 KiB | 2024-10-25 20:48:12 |
perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-10-25 20:48:12 |
perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-10-25 20:48:12 |
perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-10-25 20:48:12 |
perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-10-25 20:48:12 |
perl-future-http-doc-0.17-r0.apk | 15.8 KiB | 2024-10-25 20:48:12 |
perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-10-25 20:48:12 |
perl-future-asyncawait-hooks-doc-0.02-r0.apk | 3.2 KiB | 2024-10-25 20:48:12 |
perl-future-asyncawait-hooks-0.02-r0.apk | 8.2 KiB | 2024-10-25 20:48:12 |
perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-10-25 20:48:12 |
perl-full-1.004-r0.apk | 7.1 KiB | 2024-10-25 20:48:12 |
perl-freezethaw-doc-0.5001-r2.apk | 5.6 KiB | 2024-10-25 20:48:12 |
perl-freezethaw-0.5001-r2.apk | 9.8 KiB | 2024-10-25 20:48:12 |
perl-flowd-doc-0.9.1-r10.apk | 3.2 KiB | 2024-10-25 20:48:12 |
perl-flowd-0.9.1-r10.apk | 21.8 KiB | 2024-10-25 20:48:12 |
perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-10-25 20:48:12 |
perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-10-25 20:48:12 |
perl-file-mmagic-xs-doc-0.09008-r4.apk | 4.2 KiB | 2024-10-25 20:48:12 |
perl-file-mmagic-xs-0.09008-r4.apk | 30.3 KiB | 2024-10-25 20:48:12 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.1 KiB | 2024-10-25 20:48:12 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-10-25 20:48:12 |
perl-ffi-platypus-doc-2.10-r0.apk | 146.1 KiB | 2024-12-20 05:38:21 |
perl-ffi-platypus-2.10-r0.apk | 179.0 KiB | 2024-12-20 05:38:21 |
perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-10-25 20:48:12 |
perl-ffi-c-0.15-r0.apk | 19.9 KiB | 2024-10-25 20:48:12 |
perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-10-25 20:48:12 |
perl-feed-find-0.13-r0.apk | 3.8 KiB | 2024-10-25 20:48:12 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-10-25 20:48:12 |
perl-extutils-xsbuilder-0.28-r5.apk | 43.0 KiB | 2024-10-25 20:48:12 |
perl-extutils-makemaker-7.70-r2.apk | 174.7 KiB | 2024-10-25 20:48:12 |
perl-ev-hiredis-doc-0.07-r1.apk | 4.2 KiB | 2024-10-25 20:48:12 |
perl-ev-hiredis-0.07-r1.apk | 12.9 KiB | 2024-10-25 20:48:12 |
perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-10-25 20:48:12 |
perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-10-25 20:48:12 |
perl-email-mime-attachment-stripper-doc-1.317-r5.apk | 3.8 KiB | 2024-10-25 20:48:12 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 3.9 KiB | 2024-10-25 20:48:12 |
perl-email-abstract-doc-3.010-r0.apk | 13.1 KiB | 2024-10-25 20:48:12 |
perl-email-abstract-3.010-r0.apk | 7.6 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-mojo-0.29-r1.apk | 2.7 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-ioasync-0.29-r1.apk | 2.4 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-doc-0.29-r1.apk | 15.3 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk | 1.9 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-anyevent-0.29-r1.apk | 2.3 KiB | 2024-10-25 20:48:12 |
perl-dns-unbound-0.29-r1.apk | 23.5 KiB | 2024-10-25 20:48:12 |
perl-digest-crc-doc-0.24-r1.apk | 3.2 KiB | 2024-10-25 20:48:12 |
perl-digest-crc-0.24-r1.apk | 9.4 KiB | 2024-10-25 20:48:12 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-10-25 20:48:12 |
perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-10-25 20:48:12 |
perl-devel-refcount-doc-0.10-r1.apk | 4.2 KiB | 2024-10-25 20:48:12 |
perl-devel-refcount-0.10-r1.apk | 6.1 KiB | 2024-10-25 20:48:12 |
perl-devel-leak-doc-0.03-r13.apk | 3.3 KiB | 2024-10-25 20:48:12 |
perl-devel-leak-0.03-r13.apk | 6.9 KiB | 2024-10-25 20:48:12 |
perl-devel-confess-doc-0.009004-r0.apk | 6.6 KiB | 2024-10-25 20:48:12 |
perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-10-25 20:48:12 |
perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-12-30 06:37:33 |
perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-12-30 06:37:33 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.4 KiB | 2024-10-25 20:48:12 |
perl-dbix-introspector-0.001005-r4.apk | 8.0 KiB | 2024-10-25 20:48:12 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-10-25 20:48:12 |
perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-10-25 20:48:12 |
perl-dbix-connector-doc-0.60-r0.apk | 22.3 KiB | 2024-12-30 06:37:33 |
perl-dbix-connector-0.60-r0.apk | 14.7 KiB | 2024-12-30 06:37:33 |
perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.4 KiB | 2024-11-17 04:16:58 |
perl-dbix-class-helpers-2.037000-r0.apk | 47.7 KiB | 2024-11-17 04:16:58 |
perl-dbix-class-doc-0.082843-r1.apk | 345.6 KiB | 2024-10-25 20:48:12 |
perl-dbix-class-candy-doc-0.005004-r0.apk | 9.5 KiB | 2024-10-30 06:01:04 |
perl-dbix-class-candy-0.005004-r0.apk | 7.9 KiB | 2024-10-30 06:01:04 |
perl-dbix-class-0.082843-r1.apk | 430.1 KiB | 2024-10-25 20:48:12 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5.3 KiB | 2024-10-25 20:48:12 |
perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2024-10-25 20:48:12 |
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk | 15.0 KiB | 2024-10-25 20:48:12 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-10-25 20:48:12 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-10-25 20:48:12 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-10-25 20:48:12 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4.1 KiB | 2025-01-05 15:08:17 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.4 KiB | 2025-01-05 15:08:17 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12.1 KiB | 2024-12-31 13:28:49 |
perl-datetime-format-flexible-0.37-r0.apk | 18.0 KiB | 2024-12-31 13:28:49 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.8 KiB | 2025-01-05 15:08:17 |
perl-datetime-format-atom-1.8.0-r0.apk | 3.2 KiB | 2025-01-05 15:08:17 |
perl-database-async-engine-postgresql-doc-1.005-r0.apk | 9.3 KiB | 2024-10-25 20:48:12 |
perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-10-25 20:48:12 |
perl-database-async-doc-0.019-r0.apk | 29.2 KiB | 2024-10-25 20:48:12 |
perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-10-25 20:48:12 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.8 KiB | 2024-10-25 20:48:12 |
perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-10-25 20:48:12 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.4 KiB | 2024-10-25 20:48:12 |
perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-10-25 20:48:12 |
perl-data-checks-doc-0.10-r0.apk | 8.2 KiB | 2024-10-25 20:48:12 |
perl-data-checks-0.10-r0.apk | 23.0 KiB | 2024-10-25 20:48:12 |
perl-dancer2-doc-1.1.2-r0.apk | 300.8 KiB | 2024-12-28 04:55:07 |
perl-dancer2-1.1.2-r0.apk | 163.0 KiB | 2024-12-28 04:55:07 |
perl-dancer-session-cookie-doc-0.30-r2.apk | 4.2 KiB | 2024-10-25 20:48:12 |
perl-dancer-session-cookie-0.30-r2.apk | 5.4 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.4 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.3 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4.9 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-10-25 20:48:12 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-10-25 20:48:12 |
perl-daemon-control-doc-0.001010-r2.apk | 8.2 KiB | 2024-10-25 20:48:12 |
perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-10-25 20:48:12 |
perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-10-25 20:48:12 |
perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-10-25 20:48:12 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.3 KiB | 2024-10-25 20:48:12 |
perl-crypt-saltedhash-0.09-r5.apk | 6.9 KiB | 2024-10-25 20:48:12 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-10-25 20:48:12 |
perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-10-25 20:48:12 |
perl-cpan-changes-doc-0.500004-r0.apk | 18.2 KiB | 2024-10-25 20:48:12 |
perl-cpan-changes-0.500004-r0.apk | 13.7 KiB | 2024-10-25 20:48:12 |
perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-10-25 20:48:12 |
perl-context-preserve-0.03-r4.apk | 3.8 KiB | 2024-10-25 20:48:12 |
perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-10-25 20:48:12 |
perl-constant-generate-0.17-r5.apk | 8.7 KiB | 2024-10-25 20:48:12 |
perl-constant-defer-doc-6-r5.apk | 6.9 KiB | 2024-10-25 20:48:12 |
perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-10-25 20:48:12 |
perl-conf-libconfig-doc-1.0.3-r0.apk | 5.4 KiB | 2024-10-25 20:48:12 |
perl-conf-libconfig-1.0.3-r0.apk | 24.3 KiB | 2024-10-25 20:48:12 |
perl-color-rgb-util-doc-0.607-r0.apk | 7.4 KiB | 2024-10-25 20:48:12 |
perl-color-rgb-util-0.607-r0.apk | 9.3 KiB | 2024-10-25 20:48:12 |
perl-color-ansi-util-doc-0.165-r0.apk | 5.1 KiB | 2024-10-25 20:48:12 |
perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-10-25 20:48:12 |
perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-12-28 04:55:07 |
perl-cli-osprey-0.08-r0.apk | 12.7 KiB | 2024-12-28 04:55:07 |
perl-class-inner-doc-0.200001-r5.apk | 4.1 KiB | 2024-10-25 20:48:12 |
perl-class-inner-0.200001-r5.apk | 3.9 KiB | 2024-10-25 20:48:12 |
perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-10-25 20:48:12 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-10-25 20:48:12 |
perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-10-25 20:48:12 |
perl-class-c3-0.35-r1.apk | 9.4 KiB | 2024-10-25 20:48:12 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-10-25 20:48:12 |
perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-10-25 20:48:12 |
perl-check-unitcheck-doc-0.13-r1.apk | 3.6 KiB | 2024-10-25 20:48:12 |
perl-check-unitcheck-0.13-r1.apk | 6.0 KiB | 2024-10-25 20:48:12 |
perl-cgi-expand-doc-2.05-r4.apk | 6.1 KiB | 2024-10-25 20:48:12 |
perl-cgi-expand-2.05-r4.apk | 6.8 KiB | 2024-10-25 20:48:12 |
perl-cairo-gobject-doc-1.005-r4.apk | 2.9 KiB | 2024-10-25 20:48:12 |
perl-cairo-gobject-1.005-r4.apk | 6.5 KiB | 2024-10-25 20:48:12 |
perl-cairo-doc-1.109-r4.apk | 13.9 KiB | 2024-10-25 20:48:12 |
perl-cairo-1.109-r4.apk | 75.5 KiB | 2024-10-25 20:48:12 |
perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-10-25 20:48:12 |
perl-cache-lru-0.04-r0.apk | 2.9 KiB | 2024-10-25 20:48:12 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12.1 KiB | 2024-10-25 20:48:12 |
perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-10-25 20:48:12 |
perl-bsd-resource-doc-1.2911-r10.apk | 7.9 KiB | 2024-10-25 20:48:12 |
perl-bsd-resource-1.2911-r10.apk | 19.1 KiB | 2024-10-25 20:48:12 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-10-25 20:48:12 |
perl-bind-config-parser-0.01-r5.apk | 3.8 KiB | 2024-10-25 20:48:12 |
perl-bareword-filehandles-doc-0.007-r0.apk | 3.2 KiB | 2024-10-25 20:48:12 |
perl-bareword-filehandles-0.007-r0.apk | 5.9 KiB | 2024-10-25 20:48:12 |
perl-barcode-zbar-doc-0.10-r3.apk | 12.6 KiB | 2024-10-25 20:48:12 |
perl-barcode-zbar-0.10-r3.apk | 30.0 KiB | 2024-10-25 20:48:12 |
perl-b-hooks-op-check-doc-0.22-r0.apk | 3.7 KiB | 2024-10-25 20:48:12 |
perl-b-hooks-op-check-0.22-r0.apk | 6.6 KiB | 2024-10-25 20:48:12 |
perl-autobox-doc-3.0.2-r0.apk | 8.9 KiB | 2024-10-25 20:48:12 |
perl-autobox-3.0.2-r0.apk | 18.8 KiB | 2024-10-25 20:48:12 |
perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-10-25 20:48:12 |
perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-10-25 20:48:12 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-10-25 20:48:12 |
perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-10-25 20:48:12 |
perl-anyevent-future-doc-0.05-r0.apk | 5.4 KiB | 2024-10-25 20:48:12 |
perl-anyevent-future-0.05-r0.apk | 5.1 KiB | 2024-10-25 20:48:12 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-10-25 20:48:12 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-10-25 20:48:12 |
perl-aliased-doc-0.34-r4.apk | 5.6 KiB | 2024-10-25 20:48:12 |
perl-aliased-0.34-r4.apk | 5.6 KiB | 2024-10-25 20:48:12 |
perl-algorithm-permute-doc-0.17-r0.apk | 5.0 KiB | 2024-10-25 20:48:12 |
perl-algorithm-permute-0.17-r0.apk | 12.2 KiB | 2024-10-25 20:48:12 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-10-25 20:48:12 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-10-25 20:48:12 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-10-25 20:48:12 |
perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-10-25 20:48:12 |
perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-10-25 20:48:12 |
perl-algorithm-c3-0.11-r1.apk | 5.6 KiB | 2024-10-25 20:48:12 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.3 KiB | 2024-10-25 20:48:12 |
perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-10-25 20:48:12 |
perl-adapter-async-doc-0.019-r0.apk | 16.8 KiB | 2024-10-25 20:48:12 |
perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-10-25 20:48:12 |
percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-10-25 20:48:12 |
percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-10-25 20:48:12 |
pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-10-25 20:48:12 |
pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-10-25 20:48:12 |
peg-doc-0.1.18-r1.apk | 13.6 KiB | 2024-10-25 20:48:11 |
peg-0.1.18-r1.apk | 39.5 KiB | 2024-10-25 20:48:11 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-10-25 20:48:11 |
peervpn-0.044-r5.apk | 49.6 KiB | 2024-10-25 20:48:11 |
pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-12-14 21:14:20 |
pebble-le-dev-0.3.0-r2.apk | 67.2 KiB | 2024-12-14 21:14:20 |
pebble-le-0.3.0-r2.apk | 64.1 KiB | 2024-12-14 21:14:20 |
pdm-pyc-2.18.2-r0.apk | 482.3 KiB | 2024-10-25 20:48:11 |
pdm-2.18.2-r0.apk | 227.3 KiB | 2024-10-25 20:48:11 |
pdfcrack-0.20-r0.apk | 33.0 KiB | 2024-10-25 20:48:11 |
pdfcpu-0.9.1-r0.apk | 4.2 MiB | 2024-10-27 17:21:01 |
pdf2svg-0.2.3-r1.apk | 4.4 KiB | 2024-10-25 20:48:11 |
pcsc-tools-doc-1.7.2-r0.apk | 6.0 KiB | 2024-10-25 20:48:11 |
pcsc-tools-1.7.2-r0.apk | 187.9 KiB | 2024-10-25 20:48:11 |
pcsc-perl-doc-1.4.16-r1.apk | 10.0 KiB | 2024-10-25 20:48:11 |
pcsc-perl-1.4.16-r1.apk | 25.6 KiB | 2024-10-25 20:48:11 |
pcl-libs-1.14.0-r2.apk | 18.6 MiB | 2024-10-25 20:48:11 |
pcl-dev-1.14.0-r2.apk | 1.5 MiB | 2024-10-25 20:48:09 |
pcl-1.14.0-r2.apk | 3.0 MiB | 2024-10-25 20:48:09 |
pathvector-6.3.2-r8.apk | 3.6 MiB | 2024-10-25 20:48:09 |
pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-10-25 20:48:09 |
pasystray-0.8.2-r0.apk | 45.7 KiB | 2024-10-25 20:48:09 |
pastel-zsh-completion-0.10.0-r0.apk | 4.9 KiB | 2024-10-25 20:48:09 |
pastel-fish-completion-0.10.0-r0.apk | 3.2 KiB | 2024-10-25 20:48:09 |
pastel-bash-completion-0.10.0-r0.apk | 3.0 KiB | 2024-10-25 20:48:09 |
pastel-0.10.0-r0.apk | 433.0 KiB | 2024-10-25 20:48:09 |
passage-zsh-completion-1.7.4_alpha2-r0.apk | 3.0 KiB | 2024-12-24 11:03:42 |
passage-fish-completion-1.7.4_alpha2-r0.apk | 2.7 KiB | 2024-12-24 11:03:42 |
passage-bash-completion-1.7.4_alpha2-r0.apk | 3.0 KiB | 2024-12-24 11:03:42 |
passage-1.7.4_alpha2-r0.apk | 8.1 KiB | 2024-12-24 11:03:42 |
pass2csv-pyc-1.1.1-r1.apk | 7.4 KiB | 2024-10-25 20:48:09 |
pass2csv-1.1.1-r1.apk | 8.3 KiB | 2024-10-25 20:48:09 |
pash-2.3.0-r2.apk | 4.3 KiB | 2024-10-25 20:48:09 |
parse-changelog-0.6.8-r0.apk | 573.3 KiB | 2024-10-25 20:48:09 |
pari-libs-2.17.1-r0.apk | 4.5 MiB | 2024-12-24 17:18:16 |
pari-doc-2.17.1-r0.apk | 923.8 KiB | 2024-12-24 17:18:16 |
pari-dev-2.17.1-r0.apk | 105.5 KiB | 2024-12-24 17:18:15 |
pari-2.17.1-r0.apk | 589.2 KiB | 2024-12-24 17:18:15 |
parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-10-25 20:48:08 |
parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-10-25 20:48:08 |
parcellite-1.2.5-r0.apk | 227.0 KiB | 2024-10-25 20:48:08 |
par2cmdline-turbo-doc-1.2.0-r0.apk | 5.3 KiB | 2024-12-10 18:06:17 |
par2cmdline-turbo-1.2.0-r0.apk | 173.5 KiB | 2024-12-10 18:06:17 |
par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-10-25 20:48:08 |
par-1.53.0-r1.apk | 14.5 KiB | 2024-10-25 20:48:08 |
paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-11-22 22:05:40 |
paprefs-1.2-r2.apk | 30.1 KiB | 2024-11-22 22:05:40 |
paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-10-25 20:48:08 |
paperkey-1.6-r2.apk | 16.1 KiB | 2024-10-25 20:48:08 |
paperde-dev-0.2.1-r2.apk | 5.2 KiB | 2024-10-25 20:48:08 |
paperde-0.2.1-r2.apk | 643.9 KiB | 2024-10-25 20:48:08 |
pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-10-25 20:48:08 |
pantalaimon-pyc-0.10.5-r4.apk | 82.8 KiB | 2024-10-25 20:48:08 |
pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-10-25 20:48:08 |
pantalaimon-0.10.5-r4.apk | 44.7 KiB | 2024-10-25 20:48:08 |
pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-10-25 20:48:08 |
pamtester-0.1.2-r4.apk | 9.2 KiB | 2024-10-25 20:48:08 |
pam_sqlite3-1.0.2-r2.apk | 9.0 KiB | 2024-10-25 20:48:08 |
pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-10-25 20:48:08 |
pam-krb5-4.11-r1.apk | 23.0 KiB | 2024-10-25 20:48:08 |
pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-10-25 20:48:08 |
pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-10-25 20:48:08 |
pacparser-1.4.5-r1.apk | 784.1 KiB | 2024-10-25 20:48:08 |
p910nd-openrc-0.97-r2.apk | 2.1 KiB | 2024-10-25 20:48:08 |
p910nd-doc-0.97-r2.apk | 3.2 KiB | 2024-10-25 20:48:08 |
p910nd-0.97-r2.apk | 7.9 KiB | 2024-10-25 20:48:08 |
p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-10-25 20:48:08 |
p0f-3.09b-r3.apk | 77.4 KiB | 2024-10-25 20:48:08 |
oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-10-25 20:48:08 |
ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-10-25 20:48:04 |
ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-10-25 20:48:04 |
ovos-messagebus-pyc-0.0.8-r0.apk | 6.6 KiB | 2024-11-25 12:41:34 |
ovos-messagebus-0.0.8-r0.apk | 9.8 KiB | 2024-11-25 12:41:34 |
ovos-gui-pyc-1.1.0-r0.apk | 38.4 KiB | 2024-11-25 12:41:34 |
ovos-gui-1.1.0-r0.apk | 30.7 KiB | 2024-11-25 12:41:34 |
ovn-openrc-24.03.1-r0.apk | 4.1 KiB | 2024-10-25 20:48:04 |
ovn-doc-24.03.1-r0.apk | 511.9 KiB | 2024-10-25 20:48:04 |
ovn-dev-24.03.1-r0.apk | 22.5 MiB | 2024-10-25 20:48:04 |
ovn-dbg-24.03.1-r0.apk | 26.4 MiB | 2024-10-25 20:48:02 |
ovn-24.03.1-r0.apk | 7.2 MiB | 2024-10-25 20:47:59 |
otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-10-25 20:47:59 |
otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-10-25 20:47:59 |
otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-10-25 20:47:59 |
otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-10-25 20:47:59 |
otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-10-25 20:47:59 |
otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-10-25 20:47:59 |
otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-10-25 20:47:58 |
otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-10-25 20:47:58 |
otrs-6.0.48-r2.apk | 28.7 MiB | 2024-10-25 20:47:58 |
otpclient-doc-4.0.2-r0.apk | 3.6 KiB | 2024-10-25 20:47:56 |
otpclient-4.0.2-r0.apk | 118.5 KiB | 2024-10-25 20:47:56 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-10-25 20:47:56 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-10-25 20:47:56 |
osmctools-0.9-r0.apk | 120.1 KiB | 2024-10-25 20:47:56 |
orage-lang-4.18.0-r0.apk | 1.2 MiB | 2024-10-25 20:47:56 |
orage-4.18.0-r0.apk | 563.3 KiB | 2024-10-25 20:47:56 |
opmsg-1.84-r1.apk | 270.5 KiB | 2024-10-25 20:47:56 |
opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-10-25 20:47:56 |
opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-10-25 20:47:56 |
opkg-libs-0.7.0-r0.apk | 80.1 KiB | 2024-10-25 20:47:56 |
opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-10-25 20:47:56 |
opkg-dev-0.7.0-r0.apk | 178.8 KiB | 2024-10-25 20:47:56 |
opkg-0.7.0-r0.apk | 10.3 KiB | 2024-10-25 20:47:56 |
openwsman-libs-2.7.2-r5.apk | 328.4 KiB | 2024-10-25 20:47:56 |
openwsman-doc-2.7.2-r5.apk | 2.4 KiB | 2024-10-25 20:47:55 |
openwsman-dev-2.7.2-r5.apk | 57.7 KiB | 2024-10-25 20:47:55 |
openwsman-2.7.2-r5.apk | 50.9 KiB | 2024-10-25 20:47:55 |
openvpn3-dev-3.8.5-r0.apk | 686.3 KiB | 2024-10-25 20:47:55 |
openvpn3-3.8.5-r0.apk | 396.6 KiB | 2024-10-25 20:47:55 |
openttd-opensfx-1.0.3-r0.apk | 11.0 MiB | 2024-10-25 20:47:55 |
openttd-openmsx-0.4.2-r0.apk | 129.3 KiB | 2024-10-25 20:47:54 |
openttd-opengfx-7.1-r0.apk | 3.3 MiB | 2024-10-25 20:47:54 |
openttd-lang-14.1-r0.apk | 3.5 MiB | 2024-10-25 20:47:54 |
openttd-doc-14.1-r0.apk | 264.1 KiB | 2024-10-25 20:47:54 |
openttd-14.1-r0.apk | 7.2 MiB | 2024-10-25 20:47:54 |
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk | 48.0 KiB | 2024-11-11 19:45:49 |
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk | 69.8 KiB | 2024-11-11 19:45:49 |
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk | 39.0 KiB | 2024-11-11 19:45:49 |
opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk | 32.3 KiB | 2024-11-11 19:45:49 |
opentelemetry-cpp-dev-1.11.0-r5.apk | 323.3 KiB | 2024-11-11 19:45:49 |
opentelemetry-cpp-1.11.0-r5.apk | 553.9 KiB | 2024-11-11 19:45:49 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-10-25 20:47:53 |
openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-10-25 20:47:53 |
openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-10-25 20:47:53 |
opensmtpd-filter-dkimsign-doc-0.6-r1.apk | 3.5 KiB | 2024-10-25 20:47:52 |
opensmtpd-filter-dkimsign-0.6-r1.apk | 15.3 KiB | 2024-10-25 20:47:52 |
openslide-tools-3.4.1-r3.apk | 9.2 KiB | 2024-10-25 20:47:52 |
openslide-doc-3.4.1-r3.apk | 4.9 KiB | 2024-10-25 20:47:52 |
openslide-dev-3.4.1-r3.apk | 6.9 KiB | 2024-10-25 20:47:52 |
openslide-3.4.1-r3.apk | 80.8 KiB | 2024-10-25 20:47:52 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.5 KiB | 2024-10-25 20:47:52 |
openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-10-25 20:47:52 |
openscap-daemon-0.1.10-r9.apk | 60.1 KiB | 2024-10-25 20:47:52 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-10-25 20:47:52 |
openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-10-25 20:47:52 |
openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-10-25 20:47:52 |
openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-10-25 20:47:52 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3.4 KiB | 2024-10-25 20:47:52 |
openocd-git-doc-0_git20240113-r1.apk | 3.3 KiB | 2024-10-25 20:47:52 |
openocd-git-dev-0_git20240113-r1.apk | 3.4 KiB | 2024-10-25 20:47:52 |
openocd-git-dbg-0_git20240113-r1.apk | 4.2 MiB | 2024-10-25 20:47:52 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1.5 KiB | 2024-10-25 20:47:51 |
openocd-git-0_git20240113-r1.apk | 1.7 MiB | 2024-10-25 20:47:51 |
openocd-esp32-udev-rules-0_git20230921-r5.apk | 3.4 KiB | 2024-10-25 20:47:51 |
openocd-esp32-doc-0_git20230921-r5.apk | 3.3 KiB | 2024-10-25 20:47:51 |
openocd-esp32-dev-0_git20230921-r5.apk | 3.4 KiB | 2024-10-25 20:47:51 |
openocd-esp32-0_git20230921-r5.apk | 1.8 MiB | 2024-10-25 20:47:51 |
openmg-0.0.9-r0.apk | 103.4 KiB | 2024-10-25 20:47:51 |
openjdk23-static-libs-23.0.1_p11-r0.apk | 18.8 MiB | 2024-10-25 20:47:51 |
openjdk23-src-23.0.1_p11-r0.apk | 46.1 MiB | 2024-10-25 20:47:49 |
openjdk23-jre-headless-23.0.1_p11-r0.apk | 60.5 MiB | 2024-10-25 20:47:45 |
openjdk23-jre-23.0.1_p11-r0.apk | 1.1 MiB | 2024-10-25 20:47:41 |
openjdk23-jmods-23.0.1_p11-r0.apk | 73.0 MiB | 2024-10-25 20:47:40 |
openjdk23-jdk-23.0.1_p11-r0.apk | 6.7 MiB | 2024-10-25 20:47:35 |
openjdk23-doc-23.0.1_p11-r0.apk | 187.0 KiB | 2024-10-25 20:47:35 |
openjdk23-demos-23.0.1_p11-r0.apk | 5.2 MiB | 2024-10-25 20:47:35 |
openjdk23-23.0.1_p11-r0.apk | 1.5 KiB | 2024-10-25 20:47:35 |
openjdk22-static-libs-22.0.2_p9-r2.apk | 18.3 MiB | 2024-10-25 20:47:35 |
openjdk22-src-22.0.2_p9-r2.apk | 46.3 MiB | 2024-10-25 20:47:34 |
openjdk22-jre-headless-22.0.2_p9-r2.apk | 56.2 MiB | 2024-10-25 20:47:31 |
openjdk22-jre-22.0.2_p9-r2.apk | 1.1 MiB | 2024-10-25 20:47:27 |
openjdk22-jmods-22.0.2_p9-r2.apk | 69.1 MiB | 2024-10-25 20:47:27 |
openjdk22-jdk-22.0.2_p9-r2.apk | 6.6 MiB | 2024-10-25 20:47:23 |
openjdk22-doc-22.0.2_p9-r2.apk | 185.7 KiB | 2024-10-25 20:47:22 |
openjdk22-demos-22.0.2_p9-r2.apk | 5.2 MiB | 2024-10-25 20:47:22 |
openjdk22-22.0.2_p9-r2.apk | 1.5 KiB | 2024-10-25 20:47:22 |
openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2024-10-25 20:47:22 |
openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-12-11 21:38:52 |
openfortivpn-1.22.1-r0.apk | 41.1 KiB | 2024-12-11 21:38:52 |
openfire-plugins-4.8.1-r1.apk | 72.3 KiB | 2024-12-03 14:38:56 |
openfire-openrc-4.8.1-r1.apk | 1.9 KiB | 2024-12-03 14:38:56 |
openfire-doc-4.8.1-r1.apk | 3.8 MiB | 2024-12-03 14:38:56 |
openfire-4.8.1-r1.apk | 45.8 MiB | 2024-12-03 14:38:55 |
opendht-libs-3.1.7-r5.apk | 586.6 KiB | 2024-12-14 21:14:20 |
opendht-doc-3.1.7-r5.apk | 3.0 KiB | 2024-12-14 21:14:20 |
opendht-dev-3.1.7-r5.apk | 70.6 KiB | 2024-12-14 21:14:20 |
opendht-3.1.7-r5.apk | 189.6 KiB | 2024-12-14 21:14:20 |
openapi-validator-1.19.2-r0.apk | 10.0 MiB | 2024-10-25 20:47:21 |
olsrd-plugins-0.9.8-r3.apk | 189.3 KiB | 2024-10-25 20:47:21 |
olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-10-25 20:47:21 |
olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-10-25 20:47:21 |
olsrd-0.9.8-r3.apk | 168.0 KiB | 2024-10-25 20:47:21 |
ol-doc-2.4-r0.apk | 2.5 KiB | 2024-10-25 20:47:21 |
ol-2.4-r0.apk | 991.3 KiB | 2024-10-25 20:47:21 |
oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-10-25 20:47:20 |
oil-0.21.0-r0.apk | 1.5 MiB | 2024-10-25 20:47:20 |
ode-0.16.5-r0.apk | 885.9 KiB | 2025-01-03 18:37:55 |
octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-12-25 22:04:21 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-10-25 20:47:20 |
octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-10-25 20:47:20 |
octoprint-openrc-1.10.3-r0.apk | 1.7 KiB | 2024-12-25 22:04:21 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-10-25 20:47:20 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-10-25 20:47:20 |
octoprint-filecheck-pyc-2024.3.27-r1.apk | 11.3 KiB | 2024-10-25 20:47:20 |
octoprint-filecheck-2024.3.27-r1.apk | 27.9 KiB | 2024-10-25 20:47:20 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-10-25 20:47:20 |
octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-10-25 20:47:20 |
octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-12-25 22:04:21 |
ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-10-25 20:47:20 |
ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-10-25 20:47:20 |
ocfs2-tools-1.8.7-r4.apk | 1.2 MiB | 2024-10-25 20:47:20 |
oblibs-dev-0.3.1.0-r5.apk | 72.7 KiB | 2025-01-10 17:33:07 |
oblibs-0.3.1.0-r5.apk | 28.7 KiB | 2025-01-10 17:33:07 |
objconv-2.52_git20210213-r2.apk | 265.0 KiB | 2024-10-25 20:47:20 |
oauth2-proxy-openrc-7.6.0-r6.apk | 2.1 KiB | 2024-10-25 20:47:20 |
oauth2-proxy-7.6.0-r6.apk | 7.5 MiB | 2024-10-25 20:47:20 |
nzbget-openrc-24.5-r0.apk | 2.0 KiB | 2024-12-24 10:41:57 |
nzbget-24.5-r0.apk | 4.8 MiB | 2024-12-24 10:41:57 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1.7 KiB | 2024-10-25 20:47:19 |
nymphcast-mediaserver-0.1-r3.apk | 71.3 KiB | 2024-10-25 20:47:19 |
nwg-panel-pyc-0.9.59-r0.apk | 249.8 KiB | 2024-12-29 21:51:01 |
nwg-panel-doc-0.9.59-r0.apk | 4.2 KiB | 2024-12-29 21:51:01 |
nwg-panel-0.9.59-r0.apk | 273.6 KiB | 2024-12-29 21:51:01 |
nwg-dock-0.3.9-r6.apk | 1.6 MiB | 2024-10-25 20:47:18 |
nwg-displays-pyc-0.3.13-r1.apk | 34.5 KiB | 2024-10-25 20:47:18 |
nwg-displays-0.3.13-r1.apk | 23.6 KiB | 2024-10-25 20:47:18 |
nwg-bar-0.1.6-r5.apk | 1.5 MiB | 2024-10-25 20:47:18 |
nvtop-doc-3.1.0-r0.apk | 3.5 KiB | 2024-10-25 20:47:18 |
nvtop-3.1.0-r0.apk | 60.2 KiB | 2024-10-25 20:47:18 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7.1 KiB | 2024-11-11 03:58:02 |
nvim-web-devicons-0.100_git20241011-r0.apk | 26.8 KiB | 2024-11-11 03:58:02 |
nvim-treesitter-doc-0.9.3-r0.apk | 29.7 KiB | 2024-11-04 03:05:06 |
nvim-treesitter-0.9.3-r0.apk | 388.2 KiB | 2024-11-04 03:05:06 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21.2 KiB | 2024-10-25 20:47:18 |
nvim-packer-0.0.0_git20220910-r1.apk | 45.6 KiB | 2024-10-25 20:47:18 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2.8 KiB | 2024-10-25 20:47:18 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10.2 KiB | 2024-10-25 20:47:18 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-10-25 20:47:18 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-10-25 20:47:18 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-10-25 20:47:18 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-10-25 20:47:18 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-10-25 20:47:18 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-10-25 20:47:18 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-10-25 20:47:18 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-10-25 20:47:18 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-10-25 20:47:18 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-10-25 20:47:18 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-10-25 20:47:18 |
nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-10-25 20:47:18 |
nvidia-src-535.86.05-r1.apk | 12.0 MiB | 2025-01-09 11:46:58 |
nuzzle-doc-1.5-r0.apk | 3.3 KiB | 2024-10-25 20:47:17 |
nuzzle-1.5-r0.apk | 11.7 KiB | 2024-10-25 20:47:17 |
nullmailer-openrc-2.2-r4.apk | 1.8 KiB | 2024-10-25 20:47:17 |
nullmailer-doc-2.2-r4.apk | 10.4 KiB | 2024-10-25 20:47:17 |
nullmailer-2.2-r4.apk | 127.9 KiB | 2024-10-25 20:47:17 |
nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-10-25 20:47:17 |
nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-10-25 20:47:17 |
ntpd-rs-openrc-1.4.0-r0.apk | 1.8 KiB | 2024-12-14 21:14:20 |
ntpd-rs-doc-1.4.0-r0.apk | 22.7 KiB | 2024-12-14 21:14:20 |
ntpd-rs-1.4.0-r0.apk | 2.4 MiB | 2024-12-14 21:14:20 |
nsq-1.3.0-r5.apk | 22.8 MiB | 2024-10-25 20:47:17 |
nsnake-doc-3.0.0-r0.apk | 2.9 KiB | 2024-10-25 20:47:16 |
nsnake-3.0.0-r0.apk | 8.9 KiB | 2024-10-25 20:47:16 |
notification-daemon-3.20.0-r0.apk | 61.5 KiB | 2024-10-25 20:47:16 |
normaliz-libs-3.10.4-r0.apk | 2.6 MiB | 2024-10-30 13:53:04 |
normaliz-dev-3.10.4-r0.apk | 72.5 KiB | 2024-10-30 13:53:03 |
normaliz-3.10.4-r0.apk | 40.7 KiB | 2024-10-30 13:53:03 |
nom-2.6.1-r0.apk | 6.5 MiB | 2024-10-25 20:47:15 |
noice-doc-0.8-r1.apk | 3.3 KiB | 2024-10-25 20:47:15 |
noice-0.8-r1.apk | 9.7 KiB | 2024-10-25 20:47:15 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-10-25 20:47:15 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2024-10-25 20:47:15 |
node-libpg-query-13.1.2-r5.apk | 18.8 KiB | 2024-10-25 20:47:14 |
noblenote-1.2.1-r1.apk | 426.5 KiB | 2024-10-25 20:47:14 |
nmon-16q-r0.apk | 72.7 KiB | 2024-10-25 20:47:14 |
nmap-parse-output-doc-1.5.1-r0.apk | 807.7 KiB | 2024-10-25 20:47:14 |
nmap-parse-output-bash-completion-1.5.1-r0.apk | 2.0 KiB | 2024-10-25 20:47:14 |
nmap-parse-output-1.5.1-r0.apk | 21.6 KiB | 2024-10-25 20:47:14 |
nm-tray-lang-0.5.0-r0.apk | 26.9 KiB | 2024-10-25 20:47:14 |
nm-tray-0.5.0-r0.apk | 99.0 KiB | 2024-10-25 20:47:14 |
nlopt-octave-2.9.1-r0.apk | 27.0 KiB | 2024-12-28 05:46:18 |
nlopt-guile-2.9.1-r0.apk | 42.3 KiB | 2024-12-28 05:46:18 |
nlopt-doc-2.9.1-r0.apk | 22.8 KiB | 2024-12-28 05:46:18 |
nlopt-dev-2.9.1-r0.apk | 11.8 KiB | 2024-12-28 05:46:18 |
nlopt-2.9.1-r0.apk | 187.4 KiB | 2024-12-28 05:46:18 |
nkk-doc-0_git20221010-r0.apk | 6.9 KiB | 2024-10-25 20:47:14 |
nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-10-25 20:47:14 |
nkk-0_git20221010-r0.apk | 14.9 KiB | 2024-10-25 20:47:14 |
nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-10-25 20:47:14 |
nitro-2.7_beta8-r2.apk | 556.4 KiB | 2024-10-25 20:47:14 |
nicotine-plus-pyc-3.3.7-r0.apk | 781.0 KiB | 2024-12-16 14:22:01 |
nicotine-plus-lang-3.3.7-r0.apk | 660.9 KiB | 2024-12-16 14:22:01 |
nicotine-plus-doc-3.3.7-r0.apk | 2.5 KiB | 2024-12-16 14:22:01 |
nicotine-plus-3.3.7-r0.apk | 1.5 MiB | 2024-12-16 14:22:01 |
ngs-vim-0.2.14-r0.apk | 4.9 KiB | 2024-10-25 20:47:13 |
ngs-aws-0.2.14-r0.apk | 32.7 KiB | 2024-10-25 20:47:13 |
ngs-0.2.14-r0.apk | 291.2 KiB | 2024-10-25 20:47:13 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk | 21.3 KiB | 2024-10-25 20:47:13 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk | 712.7 KiB | 2024-10-25 20:47:13 |
nfoview-doc-2.0.1-r0.apk | 8.0 KiB | 2024-10-25 20:47:13 |
nfoview-2.0.1-r0.apk | 38.9 KiB | 2024-10-25 20:47:13 |
nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-10-25 20:47:13 |
nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-10-25 20:47:08 |
nextpnr-generic-0.7-r0.apk | 761.4 KiB | 2024-10-25 20:47:08 |
nextpnr-ecp5-0.7-r0.apk | 25.4 MiB | 2024-10-25 20:47:08 |
nextpnr-0.7-r0.apk | 1.5 KiB | 2024-10-25 20:47:07 |
newsyslog-doc-1.2.0.91-r1.apk | 24.1 KiB | 2024-10-25 20:47:07 |
newsyslog-1.2.0.91-r1.apk | 17.5 KiB | 2024-10-25 20:47:07 |
netsurf-framebuffer-doc-3.11-r0.apk | 3.9 KiB | 2024-10-25 20:47:07 |
netsurf-framebuffer-3.11-r0.apk | 2.9 MiB | 2024-10-25 20:47:07 |
netsurf-doc-3.11-r0.apk | 4.4 KiB | 2024-10-25 20:47:06 |
netsurf-3.11-r0.apk | 2.2 MiB | 2024-10-25 20:47:06 |
netsed-1.3-r3.apk | 9.8 KiB | 2024-10-25 20:47:06 |
netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-10-25 20:47:06 |
netscanner-0.5.1-r1.apk | 3.6 MiB | 2024-10-25 20:47:06 |
netdiscover-doc-0.10-r0.apk | 22.0 KiB | 2024-10-25 20:47:06 |
netdiscover-0.10-r0.apk | 661.7 KiB | 2024-10-25 20:47:06 |
neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-11-06 21:46:58 |
neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-11-06 21:46:58 |
nemo-gtkhash-plugin-1.5-r0.apk | 23.1 KiB | 2024-10-25 20:47:06 |
neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-11-20 07:29:42 |
neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-11-20 07:29:42 |
neko-2.3.0-r0.apk | 458.4 KiB | 2024-11-20 07:29:42 |
neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-10-25 20:47:06 |
neard-doc-0.19-r0.apk | 5.6 KiB | 2024-10-25 20:47:06 |
neard-dev-0.19-r0.apk | 11.1 KiB | 2024-10-25 20:47:06 |
neard-0.19-r0.apk | 138.5 KiB | 2024-10-25 20:47:06 |
ndpi-dev-4.10-r0.apk | 1.5 MiB | 2024-10-25 20:47:06 |
ndpi-4.10-r0.apk | 1.6 MiB | 2024-10-25 20:47:06 |
nbsdgames-doc-5-r0.apk | 9.6 KiB | 2024-10-25 20:47:06 |
nbsdgames-5-r0.apk | 101.0 KiB | 2024-10-25 20:47:06 |
nb-zsh-completion-7.15.0-r0.apk | 2.9 KiB | 2024-12-15 21:49:37 |
nb-full-7.15.0-r0.apk | 1.2 KiB | 2024-12-15 21:49:37 |
nb-fish-completion-7.15.0-r0.apk | 2.7 KiB | 2024-12-15 21:49:37 |
nb-doc-7.15.0-r0.apk | 76.2 KiB | 2024-12-15 21:49:37 |
nb-bash-completion-7.15.0-r0.apk | 2.9 KiB | 2024-12-15 21:49:37 |
nb-7.15.0-r0.apk | 150.7 KiB | 2024-12-15 21:49:37 |
nauty-libs-2.8.9-r0.apk | 2.8 MiB | 2024-10-25 20:47:06 |
nauty-dev-2.8.9-r0.apk | 5.9 MiB | 2024-10-25 20:47:06 |
nauty-2.8.9-r0.apk | 5.6 MiB | 2024-10-25 20:47:05 |
nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-10-25 20:47:05 |
n30f-2.0-r3.apk | 6.9 KiB | 2024-10-25 20:47:05 |
mypaint-pyc-2.0.1-r1.apk | 1.1 MiB | 2024-10-25 20:47:05 |
mypaint-lang-2.0.1-r1.apk | 1.2 MiB | 2024-10-25 20:47:05 |
mypaint-2.0.1-r1.apk | 3.9 MiB | 2024-10-25 20:47:05 |
mympd-doc-19.0.1-r0.apk | 48.2 KiB | 2024-12-15 22:00:21 |
mympd-19.0.1-r0.apk | 898.1 KiB | 2024-12-15 22:00:21 |
mxclient-0_git20211002-r1.apk | 11.9 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-taglibreader-3.0.4-r0.apk | 33.6 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-supereqdsp-3.0.4-r0.apk | 25.8 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-stockencoders-3.0.4-r0.apk | 20.2 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-server-3.0.4-r0.apk | 372.2 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-openmpt-3.0.4-r0.apk | 28.9 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-mpris-3.0.4-r0.apk | 21.0 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-httpdatastream-3.0.4-r0.apk | 77.1 KiB | 2024-10-25 20:47:05 |
musikcube-plugin-all-3.0.4-r0.apk | 1.5 KiB | 2024-10-25 20:47:05 |
musikcube-dev-3.0.4-r0.apk | 20.5 KiB | 2024-10-25 20:47:05 |
musikcube-3.0.4-r0.apk | 2.3 MiB | 2024-10-25 20:47:05 |
muse-doc-4.2.1-r1.apk | 4.1 MiB | 2024-10-25 20:47:04 |
muse-4.2.1-r1.apk | 6.2 MiB | 2024-10-25 20:47:04 |
muon-doc-0.3.0-r0.apk | 73.4 KiB | 2024-10-25 20:47:04 |
muon-0.3.0-r0.apk | 274.8 KiB | 2024-10-25 20:47:04 |
mtree-portable-doc-0_git20220519-r0.apk | 11.5 KiB | 2024-10-25 20:47:04 |
mtree-portable-0_git20220519-r0.apk | 25.3 KiB | 2024-10-25 20:47:04 |
mtg-openrc-2.1.7-r16.apk | 1.9 KiB | 2024-10-25 20:47:04 |
mtg-2.1.7-r16.apk | 4.1 MiB | 2024-10-25 20:47:04 |
mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-10-25 20:47:03 |
mspdebug-0.25-r1.apk | 212.1 KiB | 2024-10-25 20:47:03 |
msh-openrc-2.5.0-r7.apk | 2.0 KiB | 2024-10-25 20:47:03 |
msh-2.5.0-r7.apk | 2.6 MiB | 2024-10-25 20:47:03 |
msgpuck-doc-2.0-r1.apk | 7.6 KiB | 2024-10-25 20:47:03 |
msgpuck-dev-2.0-r1.apk | 32.7 KiB | 2024-10-25 20:47:03 |
msgpuck-2.0-r1.apk | 1.4 KiB | 2024-10-25 20:47:03 |
mrsh-libs-0_git20210518-r1.apk | 59.9 KiB | 2024-10-25 20:47:03 |
mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-10-25 20:47:03 |
mrsh-dbg-0_git20210518-r1.apk | 208.9 KiB | 2024-10-25 20:47:03 |
mrsh-0_git20210518-r1.apk | 5.3 KiB | 2024-10-25 20:47:03 |
mqtt2prometheus-0.1.7-r11.apk | 4.0 MiB | 2024-10-25 20:47:03 |
mptcpize-doc-0.13-r1.apk | 2.3 KiB | 2025-01-10 17:18:22 |
mptcpize-dbg-0.13-r1.apk | 14.1 KiB | 2025-01-10 17:18:22 |
mptcpize-0.13-r1.apk | 19.8 KiB | 2025-01-10 17:18:22 |
mptcpd-openrc-0.13-r1.apk | 1.9 KiB | 2025-01-10 17:18:22 |
mptcpd-doc-0.13-r1.apk | 3.0 KiB | 2025-01-10 17:18:22 |
mptcpd-dev-0.13-r1.apk | 10.9 KiB | 2025-01-10 17:18:22 |
mptcpd-dbg-0.13-r1.apk | 120.1 KiB | 2025-01-10 17:18:22 |
mptcpd-0.13-r1.apk | 52.8 KiB | 2025-01-10 17:18:22 |
mptcp-get-debug-0.13-r1.apk | 2.5 KiB | 2025-01-10 17:18:22 |
mpop-vim-1.4.20-r1.apk | 2.7 KiB | 2024-10-25 20:47:03 |
mpop-lang-1.4.20-r1.apk | 130.4 KiB | 2024-10-25 20:47:03 |
mpop-doc-1.4.20-r1.apk | 33.2 KiB | 2024-10-25 20:47:03 |
mpop-1.4.20-r1.apk | 68.7 KiB | 2024-10-25 20:47:03 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-10-25 20:47:03 |
mpdris2-doc-0.9.1-r3.apk | 14.8 KiB | 2024-10-25 20:47:03 |
mpdris2-0.9.1-r3.apk | 15.1 KiB | 2024-10-25 20:47:03 |
mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-10-25 20:47:03 |
mpdcron-doc-0.3-r1.apk | 13.3 KiB | 2024-10-25 20:47:03 |
mpdcron-dev-0.3-r1.apk | 95.3 KiB | 2024-10-25 20:47:03 |
mpdcron-0.3-r1.apk | 95.6 KiB | 2024-10-25 20:47:03 |
mp3val-0.1.8-r1.apk | 15.5 KiB | 2024-10-25 20:47:03 |
mp3gain-1.6.2-r3.apk | 32.1 KiB | 2025-01-03 16:48:58 |
motion-openrc-4.7.0-r0.apk | 2.2 KiB | 2024-10-25 20:47:03 |
motion-lang-4.7.0-r0.apk | 471.2 KiB | 2024-10-25 20:47:03 |
motion-doc-4.7.0-r0.apk | 139.9 KiB | 2024-10-25 20:47:03 |
motion-4.7.0-r0.apk | 143.0 KiB | 2024-10-25 20:47:03 |
moosefs-static-3.0.117-r2.apk | 1.5 MiB | 2024-10-25 20:47:03 |
moosefs-metalogger-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-10-25 20:47:03 |
moosefs-metalogger-3.0.117-r2.apk | 33.4 KiB | 2024-10-25 20:47:03 |
moosefs-master-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-10-25 20:47:03 |
moosefs-master-3.0.117-r2.apk | 290.7 KiB | 2024-10-25 20:47:03 |
moosefs-doc-3.0.117-r2.apk | 63.8 KiB | 2024-10-25 20:47:03 |
moosefs-client-3.0.117-r2.apk | 303.9 KiB | 2024-10-25 20:47:03 |
moosefs-chunkserver-openrc-3.0.117-r2.apk | 1.6 KiB | 2024-10-25 20:47:03 |
moosefs-chunkserver-3.0.117-r2.apk | 164.9 KiB | 2024-10-25 20:47:03 |
moosefs-cgiserv-openrc-3.0.117-r2.apk | 1.9 KiB | 2024-10-25 20:47:03 |
moosefs-cgiserv-3.0.117-r2.apk | 7.7 KiB | 2024-10-25 20:47:03 |
moosefs-cgi-3.0.117-r2.apk | 63.2 KiB | 2024-10-25 20:47:03 |
moosefs-3.0.117-r2.apk | 209.6 KiB | 2024-10-25 20:47:03 |
moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-10-25 20:47:03 |
moon-buggy-1.0.51-r1.apk | 35.9 KiB | 2024-10-25 20:47:03 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-10-25 20:47:03 |
mongo-cxx-driver-3.8.0-r0.apk | 179.2 KiB | 2024-10-25 20:47:03 |
monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-10-25 20:47:03 |
monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-10-25 20:47:02 |
monetdb-11.33.11-r4.apk | 2.3 MiB | 2024-10-25 20:47:02 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-10-25 20:47:02 |
moe-doc-1.14-r0.apk | 19.0 KiB | 2024-10-25 20:46:53 |
moe-1.14-r0.apk | 107.2 KiB | 2024-10-25 20:46:53 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.5 KiB | 2024-10-25 20:46:53 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-10-25 20:46:53 |
modem-manager-gui-0.0.20-r0.apk | 332.5 KiB | 2024-10-25 20:46:52 |
mod_dnssd-0.6-r0.apk | 8.7 KiB | 2024-10-25 20:46:52 |
moccasin-doc-0.1.3-r0.apk | 5.3 KiB | 2024-10-25 20:46:52 |
moccasin-0.1.3-r0.apk | 1.9 MiB | 2024-10-25 20:46:52 |
mobroute-doc-0.9.0-r0.apk | 1.3 MiB | 2024-12-30 03:58:12 |
mobroute-0.9.0-r0.apk | 4.2 MiB | 2024-12-30 03:58:12 |
mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-10-25 20:46:52 |
mobpass-0.2-r6.apk | 18.0 KiB | 2024-10-25 20:46:52 |
mnamer-pyc-2.5.5-r1.apk | 59.9 KiB | 2024-11-18 08:46:40 |
mnamer-2.5.5-r1.apk | 30.8 KiB | 2024-11-18 08:46:40 |
mm-doc-1.4.2-r1.apk | 14.5 KiB | 2024-10-25 20:46:52 |
mm-dev-1.4.2-r1.apk | 16.0 KiB | 2024-10-25 20:46:52 |
mm-common-doc-1.0.5-r0.apk | 31.7 KiB | 2024-10-25 20:46:52 |
mm-common-1.0.5-r0.apk | 473.0 KiB | 2024-10-25 20:46:52 |
mm-1.4.2-r1.apk | 8.0 KiB | 2024-10-25 20:46:52 |
mlxl-0.1-r0.apk | 5.8 KiB | 2024-10-25 20:46:52 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-10-25 20:46:52 |
mktorrent-borg-0.9.9-r1.apk | 9.5 KiB | 2024-10-25 20:46:52 |
mkosi-pyc-24.3-r0.apk | 335.7 KiB | 2024-10-25 20:46:52 |
mkosi-24.3-r0.apk | 212.0 KiB | 2024-10-25 20:46:52 |
mkg3a-doc-0.5.0-r1.apk | 3.0 KiB | 2024-10-25 20:46:52 |
mkg3a-0.5.0-r1.apk | 14.2 KiB | 2024-10-25 20:46:52 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-10-25 20:46:52 |
mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-10-25 20:46:52 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-10-25 20:46:52 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-10-25 20:46:52 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-10-25 20:46:52 |
mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-10-25 20:46:52 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-10-25 20:46:52 |
mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-10-25 20:46:52 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-10-25 20:46:51 |
mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-10-25 20:46:51 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-10-25 20:46:51 |
mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-10-25 20:46:51 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-10-25 20:46:51 |
mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-10-25 20:46:51 |
mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-10-25 20:46:51 |
mkcert-1.4.4-r14.apk | 1.5 MiB | 2024-10-25 20:46:51 |
mjpg-streamer-0_git20210220-r1.apk | 186.6 KiB | 2024-10-25 20:46:51 |
mir-test-tools-2.15.0-r4.apk | 211.8 KiB | 2024-10-25 20:46:49 |
mir-dev-2.15.0-r4.apk | 6.9 MiB | 2024-10-25 20:46:49 |
mir-demos-2.15.0-r4.apk | 116.9 KiB | 2024-10-25 20:46:48 |
mir-2.15.0-r4.apk | 1.7 MiB | 2024-10-25 20:46:48 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 207.0 KiB | 2024-10-25 20:46:48 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.7 KiB | 2024-10-25 20:46:48 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2024-10-25 20:46:48 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2024-10-25 20:46:48 |
mint-y-theme-gtk2-2.1.1-r0.apk | 656.2 KiB | 2024-10-25 20:46:48 |
mint-y-theme-2.1.1-r0.apk | 4.1 KiB | 2024-10-25 20:46:48 |
mint-y-icons-doc-1.8.0-r0.apk | 11.2 KiB | 2024-12-24 10:09:43 |
mint-y-icons-1.8.0-r0.apk | 72.3 MiB | 2024-12-24 10:09:43 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.8 KiB | 2024-10-25 20:46:42 |
mint-x-theme-metacity-2.1.1-r0.apk | 6.0 KiB | 2024-10-25 20:46:42 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.4 KiB | 2024-10-25 20:46:42 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.4 KiB | 2024-10-25 20:46:42 |
mint-x-theme-gtk2-2.1.1-r0.apk | 490.0 KiB | 2024-10-25 20:46:42 |
mint-x-theme-2.1.1-r0.apk | 2.3 KiB | 2024-10-25 20:46:42 |
mint-x-icons-doc-1.7.2-r0.apk | 7.4 KiB | 2024-12-24 10:07:24 |
mint-x-icons-1.7.2-r0.apk | 22.5 MiB | 2024-12-24 10:07:24 |
mint-themes-doc-2.1.1-r0.apk | 12.8 KiB | 2024-10-25 20:46:40 |
mint-themes-2.1.1-r0.apk | 2.2 KiB | 2024-10-25 20:46:40 |
minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-10-25 20:46:40 |
minisatip-1.3.4-r0.apk | 315.9 KiB | 2024-10-25 20:46:40 |
minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-10-25 20:46:40 |
minimodem-0.24-r1.apk | 20.6 KiB | 2024-10-25 20:46:40 |
minidyndns-openrc-1.3.0-r3.apk | 2.1 KiB | 2024-10-25 20:46:40 |
minidyndns-doc-1.3.0-r3.apk | 5.3 KiB | 2024-10-25 20:46:40 |
minidyndns-1.3.0-r3.apk | 11.9 KiB | 2024-10-25 20:46:40 |
mimeo-pyc-2023-r2.apk | 41.3 KiB | 2024-10-25 20:46:40 |
mimeo-2023-r2.apk | 28.1 KiB | 2024-10-25 20:46:40 |
mimalloc1-insecure-1.8.6-r0.apk | 62.0 KiB | 2024-10-25 20:46:40 |
mimalloc1-dev-1.8.6-r0.apk | 839.7 KiB | 2024-10-25 20:46:40 |
mimalloc1-debug-1.8.6-r0.apk | 181.0 KiB | 2024-10-25 20:46:40 |
mimalloc1-1.8.6-r0.apk | 67.9 KiB | 2024-10-25 20:46:40 |
milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-10-25 20:46:40 |
milkytracker-1.04.00-r2.apk | 1020.0 KiB | 2024-10-25 20:46:40 |
metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-10-25 20:46:40 |
mesonlsp-4.3.7-r0.apk | 2.1 MiB | 2024-10-25 20:46:40 |
meson-tools-doc-0.1-r2.apk | 8.3 KiB | 2024-12-09 16:38:27 |
meson-tools-0.1-r2.apk | 8.4 KiB | 2024-12-09 16:38:27 |
mergerfs-doc-2.40.2-r1.apk | 41.8 KiB | 2024-10-25 20:46:40 |
mergerfs-2.40.2-r1.apk | 290.0 KiB | 2024-10-25 20:46:40 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-10-25 20:46:40 |
memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-10-25 20:46:40 |
memdump-1.01-r1.apk | 5.5 KiB | 2024-10-25 20:46:40 |
meli-doc-0.8.10-r0.apk | 47.3 KiB | 2024-12-16 10:18:29 |
meli-0.8.10-r0.apk | 4.7 MiB | 2024-12-16 10:18:29 |
megatools-doc-1.11.1.20241028-r0.apk | 51.8 KiB | 2024-10-29 19:34:48 |
megatools-bash-completion-1.11.1.20241028-r0.apk | 4.0 KiB | 2024-10-29 19:34:48 |
megatools-1.11.1.20241028-r0.apk | 64.1 KiB | 2024-10-29 19:34:48 |
mediastreamer2-plugin-x264-20200722-r6.apk | 9.5 KiB | 2024-10-25 20:46:40 |
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk | 12.0 KiB | 2024-10-25 20:46:40 |
mediastreamer2-doc-5.3.41-r0.apk | 109.7 KiB | 2024-10-25 20:46:40 |
mediastreamer2-dev-5.3.41-r0.apk | 112.4 KiB | 2024-10-25 20:46:40 |
mediastreamer2-5.3.41-r0.apk | 375.0 KiB | 2024-10-25 20:46:40 |
mediascanner2-0.115-r0.apk | 261.6 KiB | 2024-10-25 20:46:40 |
mdp-doc-1.0.15-r1.apk | 3.7 KiB | 2024-10-25 20:46:40 |
mdp-1.0.15-r1.apk | 16.5 KiB | 2024-10-25 20:46:40 |
mdnsd-static-0.12-r1.apk | 30.1 KiB | 2024-10-25 20:46:40 |
mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-10-25 20:46:40 |
mdnsd-libs-0.12-r1.apk | 18.1 KiB | 2024-10-25 20:46:40 |
mdnsd-doc-0.12-r1.apk | 14.3 KiB | 2024-10-25 20:46:40 |
mdnsd-0.12-r1.apk | 23.1 KiB | 2024-10-25 20:46:40 |
mdcat-zsh-completion-2.7.1-r0.apk | 2.4 KiB | 2024-12-14 18:06:16 |
mdcat-fish-completion-2.7.1-r0.apk | 2.1 KiB | 2024-12-14 18:06:16 |
mdcat-doc-2.7.1-r0.apk | 6.0 KiB | 2024-12-14 18:06:16 |
mdcat-bash-completion-2.7.1-r0.apk | 2.2 KiB | 2024-12-14 18:06:16 |
mdcat-2.7.1-r0.apk | 3.1 MiB | 2024-12-14 18:06:16 |
mdbook-plantuml-0.8.0-r0.apk | 963.8 KiB | 2024-10-25 20:46:39 |
mdbook-alerts-0.6.10-r0.apk | 750.4 KiB | 2024-12-27 01:35:48 |
mdbook-admonish-1.18.0-r0.apk | 1.0 MiB | 2024-12-27 01:35:48 |
md5ha1-0_git20171202-r1.apk | 9.1 KiB | 2024-10-25 20:46:39 |
mcqd-dev-1.0.0-r1.apk | 4.0 KiB | 2024-10-25 20:46:39 |
mcqd-1.0.0-r1.apk | 13.8 KiB | 2024-10-25 20:46:39 |
mcman-doc-0.4.5-r0.apk | 13.7 KiB | 2024-10-25 20:46:39 |
mcman-0.4.5-r0.apk | 2.8 MiB | 2024-10-25 20:46:39 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-10-25 20:46:39 |
mcjoin-2.11-r0.apk | 24.0 KiB | 2024-10-25 20:46:39 |
mbrola-3.3-r0.apk | 22.2 KiB | 2024-10-25 20:46:39 |
maxima-emacs-5.47.0-r8.apk | 111.4 KiB | 2024-10-25 20:46:39 |
maxima-doc-extra-5.47.0-r8.apk | 10.0 MiB | 2024-10-25 20:46:39 |
maxima-doc-5.47.0-r8.apk | 761.0 KiB | 2024-10-25 20:46:38 |
maxima-bash-completion-5.47.0-r8.apk | 2.4 KiB | 2024-10-25 20:46:38 |
maxima-5.47.0-r8.apk | 21.2 MiB | 2024-10-25 20:46:38 |
mautrix-twitter-openrc-0.2.0-r0.apk | 1.9 KiB | 2024-12-24 22:55:41 |
mautrix-twitter-doc-0.2.0-r0.apk | 13.2 KiB | 2024-12-24 22:55:41 |
mautrix-twitter-0.2.0-r0.apk | 6.0 MiB | 2024-12-24 22:55:41 |
mautrix-discord-openrc-0.7.2-r0.apk | 1.9 KiB | 2024-12-17 03:55:32 |
mautrix-discord-doc-0.7.2-r0.apk | 13.1 KiB | 2024-12-17 03:55:32 |
mautrix-discord-0.7.2-r0.apk | 6.1 MiB | 2024-12-17 03:55:32 |
mautrix-bluesky-openrc-0.1.0-r0.apk | 1.9 KiB | 2024-12-24 22:56:36 |
mautrix-bluesky-doc-0.1.0-r0.apk | 13.1 KiB | 2024-12-24 22:56:36 |
mautrix-bluesky-0.1.0-r0.apk | 8.1 MiB | 2024-12-24 22:56:36 |
materia-light-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2024-10-25 20:46:32 |
materia-light-kde-plasma-20220823-r0.apk | 20.1 KiB | 2024-10-25 20:46:32 |
materia-light-kde-kvantum-20220823-r0.apk | 29.6 KiB | 2024-10-25 20:46:32 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.4 KiB | 2024-10-25 20:46:32 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2024-10-25 20:46:32 |
materia-kde-kvantum-20220823-r0.apk | 29.9 KiB | 2024-10-25 20:46:31 |
materia-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-10-25 20:46:31 |
materia-kde-20220823-r0.apk | 19.3 KiB | 2024-10-25 20:46:31 |
materia-gtk3-20210322-r1.apk | 64.6 KiB | 2024-10-25 20:46:31 |
materia-gtk2-20210322-r1.apk | 37.7 KiB | 2024-10-25 20:46:31 |
materia-gtk-theme-20210322-r1.apk | 151.0 KiB | 2024-10-25 20:46:31 |
materia-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-10-25 20:46:31 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.7 KiB | 2024-10-25 20:46:31 |
materia-dark-kde-plasma-20220823-r0.apk | 502.9 KiB | 2024-10-25 20:46:31 |
materia-dark-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-10-25 20:46:31 |
materia-dark-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-10-25 20:46:31 |
materia-dark-gtk3-20210322-r1.apk | 41.2 KiB | 2024-10-25 20:46:31 |
materia-dark-gtk2-20210322-r1.apk | 37.7 KiB | 2024-10-25 20:46:31 |
materia-dark-gnome-shell-20210322-r1.apk | 31.5 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.4 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-gtk3-20210322-r1.apk | 41.2 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-gtk2-20210322-r1.apk | 37.7 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-10-25 20:46:31 |
materia-dark-compact-20210322-r1.apk | 1.7 KiB | 2024-10-25 20:46:31 |
materia-dark-chromium-20210322-r1.apk | 5.7 KiB | 2024-10-25 20:46:31 |
materia-dark-20210322-r1.apk | 1.7 KiB | 2024-10-25 20:46:31 |
materia-compact-gtk3-20210322-r1.apk | 64.5 KiB | 2024-10-25 20:46:31 |
materia-compact-gtk2-20210322-r1.apk | 37.8 KiB | 2024-10-25 20:46:31 |
materia-compact-gnome-shell-20210322-r1.apk | 31.6 KiB | 2024-10-25 20:46:31 |
materia-compact-chromium-20210322-r1.apk | 5.7 KiB | 2024-10-25 20:46:31 |
materia-compact-20210322-r1.apk | 1.7 KiB | 2024-10-25 20:46:31 |
materia-chromium-20210322-r1.apk | 5.7 KiB | 2024-10-25 20:46:31 |
materia-20210322-r1.apk | 1.7 KiB | 2024-10-25 20:46:31 |
mat2-pyc-0.13.4-r3.apk | 53.6 KiB | 2024-10-25 20:46:31 |
mat2-doc-0.13.4-r3.apk | 7.7 KiB | 2024-10-25 20:46:31 |
mat2-0.13.4-r3.apk | 35.4 KiB | 2024-10-25 20:46:31 |
masky-pyc-0.2.0-r1.apk | 64.2 KiB | 2024-10-25 20:46:31 |
masky-0.2.0-r1.apk | 277.7 KiB | 2024-10-25 20:46:31 |
marxan-4.0.7-r1.apk | 656.0 KiB | 2024-10-25 20:46:31 |
mapserver-dev-8.2.2-r2.apk | 539.5 KiB | 2024-11-09 18:51:19 |
mapserver-8.2.2-r2.apk | 1.3 MiB | 2024-11-09 18:51:19 |
mapnik-doc-3.1.0-r29.apk | 134.8 KiB | 2024-11-08 00:02:46 |
mapnik-dev-3.1.0-r29.apk | 454.1 KiB | 2024-11-08 00:02:46 |
mapnik-3.1.0-r29.apk | 11.4 MiB | 2024-11-08 00:02:46 |
manticore-tools-6.3.8-r0.apk | 19.8 MiB | 2024-12-04 00:53:45 |
manticore-openrc-6.3.8-r0.apk | 1.8 KiB | 2024-12-04 00:53:43 |
manticore-doc-6.3.8-r0.apk | 14.6 KiB | 2024-12-04 00:53:43 |
manticore-dev-6.3.8-r0.apk | 4.6 KiB | 2024-12-04 00:53:43 |
manticore-converter-6.3.8-r0.apk | 5.0 MiB | 2024-12-04 00:53:43 |
manticore-6.3.8-r0.apk | 6.1 MiB | 2024-12-04 00:53:42 |
manifest-tool-2.1.7-r0.apk | 4.0 MiB | 2024-10-25 20:46:27 |
mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-10-25 20:46:26 |
mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-10-25 20:46:26 |
mangal-zsh-completion-4.0.6-r13.apk | 4.0 KiB | 2024-10-25 20:46:26 |
mangal-fish-completion-4.0.6-r13.apk | 3.9 KiB | 2024-10-25 20:46:26 |
mangal-bash-completion-4.0.6-r13.apk | 5.0 KiB | 2024-10-25 20:46:26 |
mangal-4.0.6-r13.apk | 9.8 MiB | 2024-10-25 20:46:26 |
makeself-2.5.0-r0.apk | 13.0 KiB | 2024-10-25 20:46:26 |
makedumpfile-openrc-1.7.6-r0.apk | 2.9 KiB | 2024-10-28 04:12:30 |
makedumpfile-doc-1.7.6-r0.apk | 23.6 KiB | 2024-10-28 04:12:30 |
makedumpfile-1.7.6-r0.apk | 157.8 KiB | 2024-10-28 04:12:30 |
makeclapman-doc-2.4.4-r0.apk | 4.1 KiB | 2024-12-25 19:27:23 |
makeclapman-2.4.4-r0.apk | 1.2 MiB | 2024-12-25 19:27:23 |
mailutils-servers-3.18-r0.apk | 79.9 KiB | 2025-01-09 05:56:45 |
mailutils-mh-3.18-r0.apk | 1.4 MiB | 2025-01-09 05:56:45 |
mailutils-libs-3.18-r0.apk | 536.0 KiB | 2025-01-09 05:56:45 |
mailutils-doc-3.18-r0.apk | 160.0 KiB | 2025-01-09 05:56:45 |
mailutils-dev-3.18-r0.apk | 6.7 MiB | 2025-01-09 05:56:45 |
mailutils-3.18-r0.apk | 232.5 KiB | 2025-01-09 05:56:45 |
mailsec-check-0_git20210729-r21.apk | 2.3 MiB | 2024-10-25 20:46:25 |
maildir2rss-0.0.7-r0.apk | 3.2 MiB | 2024-10-25 20:46:25 |
magic-wormhole-rs-0.7.4-r0.apk | 2.6 MiB | 2024-11-29 02:41:36 |
mage-1.13.0-r18.apk | 1.5 MiB | 2024-10-25 20:46:24 |
maddy-vim-0.7.1-r5.apk | 3.7 KiB | 2024-10-25 20:46:24 |
maddy-openrc-0.7.1-r5.apk | 2.0 KiB | 2024-10-25 20:46:24 |
maddy-doc-0.7.1-r5.apk | 2.4 KiB | 2024-10-25 20:46:24 |
maddy-0.7.1-r5.apk | 9.2 MiB | 2024-10-25 20:46:24 |
ma1sd-openrc-2.5.0-r3.apk | 2.0 KiB | 2024-10-25 20:46:24 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-10-25 20:46:24 |
m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-10-25 20:46:20 |
m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-10-25 20:46:20 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2024-10-25 20:46:20 |
lzfse-1.0-r0.apk | 20.0 KiB | 2024-10-25 20:46:20 |
lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-01-03 15:52:10 |
lynis-doc-3.1.1-r0.apk | 49.1 KiB | 2024-10-25 20:46:20 |
lynis-bash-completion-3.1.1-r0.apk | 3.0 KiB | 2024-10-25 20:46:20 |
lynis-3.1.1-r0.apk | 271.3 KiB | 2024-10-25 20:46:20 |
lxd-feature-scripts-5.20-r6.apk | 2.1 KiB | 2024-10-25 20:46:20 |
lxd-feature-openrc-5.20-r6.apk | 2.5 KiB | 2024-10-25 20:46:20 |
lxd-feature-doc-5.20-r6.apk | 1.7 KiB | 2024-10-25 20:46:20 |
lxd-feature-bash-completion-5.20-r6.apk | 5.1 KiB | 2024-10-25 20:46:20 |
lxd-feature-5.20-r6.apk | 65.1 MiB | 2024-10-25 20:46:20 |
lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-10-25 20:46:16 |
lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-10-25 20:46:16 |
lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-10-25 20:46:16 |
lxappearance-0.6.3-r3.apk | 29.1 KiB | 2024-10-25 20:46:16 |
lutgen-zsh-completion-0.11.2-r0.apk | 1.6 KiB | 2024-10-25 20:46:16 |
lutgen-fish-completion-0.11.2-r0.apk | 1.7 KiB | 2024-10-25 20:46:16 |
lutgen-doc-0.11.2-r0.apk | 4.2 KiB | 2024-10-25 20:46:16 |
lutgen-bash-completion-0.11.2-r0.apk | 1.7 KiB | 2024-10-25 20:46:16 |
lutgen-0.11.2-r0.apk | 1.6 MiB | 2024-10-25 20:46:16 |
lumina-desktop-textedit-1.6.2-r0.apk | 187.2 KiB | 2024-10-25 20:46:16 |
lumina-desktop-sudo-1.6.2-r0.apk | 93.6 KiB | 2024-10-25 20:46:16 |
lumina-desktop-screenshot-1.6.2-r0.apk | 162.1 KiB | 2024-10-25 20:46:16 |
lumina-desktop-photo-1.6.2-r0.apk | 121.3 KiB | 2024-10-25 20:46:16 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 194.2 KiB | 2024-10-25 20:46:16 |
lumina-desktop-fm-1.6.2-r0.apk | 384.2 KiB | 2024-10-25 20:46:16 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 154.3 KiB | 2024-10-25 20:46:16 |
lumina-desktop-doc-1.6.2-r0.apk | 11.7 KiB | 2024-10-25 20:46:16 |
lumina-desktop-coreutils-1.6.2-r0.apk | 802.5 KiB | 2024-10-25 20:46:16 |
lumina-desktop-core-1.6.2-r0.apk | 8.9 MiB | 2024-10-25 20:46:16 |
lumina-desktop-archiver-1.6.2-r0.apk | 160.2 KiB | 2024-10-25 20:46:15 |
lumina-desktop-1.6.2-r0.apk | 1.5 KiB | 2024-10-25 20:46:15 |
luksmeta-doc-9-r0.apk | 5.7 KiB | 2024-10-25 20:46:15 |
luksmeta-dev-9-r0.apk | 3.3 KiB | 2024-10-25 20:46:15 |
luksmeta-9-r0.apk | 13.6 KiB | 2024-10-25 20:46:15 |
luapak-0.1.0_beta5-r0.apk | 35.5 KiB | 2024-10-25 20:46:15 |
luacov-html-1.0.0-r1.apk | 1.4 KiB | 2024-10-25 20:46:15 |
luacov-0.15.0-r0.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua5.4-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-10-25 20:46:15 |
lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-10-25 20:46:15 |
lua5.4-linenoise-0.9-r1.apk | 17.7 KiB | 2024-10-25 20:46:15 |
lua5.4-lanes-3.16.0-r1.apk | 59.2 KiB | 2024-10-25 20:46:15 |
lua5.4-editorconfig-0.3.0-r0.apk | 4.5 KiB | 2024-10-25 20:46:15 |
lua5.3-psl-0.3-r0.apk | 6.4 KiB | 2024-10-25 20:46:15 |
lua5.3-luastatic-0.0.12-r1.apk | 8.6 KiB | 2024-10-25 20:46:15 |
lua5.3-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-10-25 20:46:15 |
lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-10-25 20:46:15 |
lua5.3-linenoise-0.9-r1.apk | 17.7 KiB | 2024-10-25 20:46:15 |
lua5.3-lanes-3.16.0-r1.apk | 59.4 KiB | 2024-10-25 20:46:15 |
lua5.3-editorconfig-0.3.0-r0.apk | 4.6 KiB | 2024-10-25 20:46:15 |
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk | 5.8 KiB | 2024-10-30 05:03:26 |
lua5.2-xml-1.1.3-r2.apk | 23.4 KiB | 2024-10-25 20:46:15 |
lua5.2-psl-0.3-r0.apk | 6.4 KiB | 2024-10-25 20:46:15 |
lua5.2-luastatic-0.0.12-r1.apk | 8.6 KiB | 2024-10-25 20:46:15 |
lua5.2-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-10-25 20:46:15 |
lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-10-25 20:46:15 |
lua5.2-linenoise-0.9-r1.apk | 17.8 KiB | 2024-10-25 20:46:15 |
lua5.2-libmodbus-0.6.1-r0.apk | 10.8 KiB | 2024-10-25 20:46:15 |
lua5.2-lanes-3.16.0-r1.apk | 59.1 KiB | 2024-10-25 20:46:15 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.5 KiB | 2024-10-25 20:46:15 |
lua5.1-xml-1.1.3-r2.apk | 23.5 KiB | 2024-10-25 20:46:15 |
lua5.1-psl-0.3-r0.apk | 6.5 KiB | 2024-10-25 20:46:15 |
lua5.1-luastatic-0.0.12-r1.apk | 80.4 KiB | 2024-10-25 20:46:15 |
lua5.1-luacov-html-1.0.0-r1.apk | 420.7 KiB | 2024-10-25 20:46:15 |
lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-10-25 20:46:15 |
lua5.1-linenoise-0.9-r1.apk | 17.8 KiB | 2024-10-25 20:46:15 |
lua5.1-libmodbus-0.6.1-r0.apk | 10.8 KiB | 2024-10-25 20:46:15 |
lua5.1-lcurses-9.0.0-r0.apk | 26.9 KiB | 2024-10-25 20:46:15 |
lua5.1-lanes-3.16.0-r1.apk | 59.4 KiB | 2024-10-25 20:46:15 |
lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-resty-upload-0.11-r0.apk | 3.5 KiB | 2025-01-10 13:25:20 |
lua-psl-0.3-r0.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-lut-1.2.1-r0.apk | 88.9 KiB | 2024-10-25 20:46:15 |
lua-lupa-1.0-r0.apk | 19.8 KiB | 2024-10-25 20:46:15 |
lua-luastatic-0.0.12-r1.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-linenoise-0.9-r1.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.3 KiB | 2024-10-25 20:46:15 |
lua-libmodbus-0.6.1-r0.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-lcurses-9.0.0-r0.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-lanes-3.16.0-r1.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-10-25 20:46:15 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-10-25 20:46:15 |
lua-editorconfig-0.3.0-r0.apk | 1.4 KiB | 2024-10-25 20:46:15 |
lsmash-dev-2.14.5-r2.apk | 592.4 KiB | 2024-10-25 20:46:15 |
lsmash-2.14.5-r2.apk | 296.3 KiB | 2024-10-25 20:46:15 |
lsix-1.8.2-r0.apk | 6.5 KiB | 2024-10-25 20:46:15 |
lshell-pyc-0.9.18-r11.apk | 35.2 KiB | 2024-10-25 20:46:15 |
lshell-doc-0.9.18-r11.apk | 25.2 KiB | 2024-10-25 20:46:15 |
lshell-0.9.18-r11.apk | 36.5 KiB | 2024-10-25 20:46:15 |
lsdvd-doc-0.17-r0.apk | 2.4 KiB | 2024-10-25 20:46:15 |
lsdvd-0.17-r0.apk | 13.2 KiB | 2024-10-25 20:46:15 |
lsd-zsh-completion-1.1.5-r0.apk | 3.4 KiB | 2024-10-25 20:46:15 |
lsd-fish-completion-1.1.5-r0.apk | 3.1 KiB | 2024-10-25 20:46:15 |
lsd-bash-completion-1.1.5-r0.apk | 2.5 KiB | 2024-10-25 20:46:15 |
lsd-1.1.5-r0.apk | 1.2 MiB | 2024-10-25 20:46:15 |
lrcalc-libs-2.1-r1.apk | 26.3 KiB | 2024-10-25 20:46:15 |
lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-10-25 20:46:15 |
lrcalc-2.1-r1.apk | 11.4 KiB | 2024-10-25 20:46:15 |
lowjs-doc-1.6.2-r2.apk | 2.9 KiB | 2024-10-25 20:46:15 |
lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-10-25 20:46:15 |
lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-10-25 20:46:15 |
lout-3.42.2-r0.apk | 1.4 MiB | 2024-10-25 20:46:15 |
lottieconverter-doc-0.2_git20231219-r0.apk | 2.4 KiB | 2024-10-25 20:46:14 |
lottieconverter-0.2_git20231219-r0.apk | 11.8 KiB | 2024-10-25 20:46:14 |
lomiri-weather-app-lang-5.13.5-r1.apk | 287.7 KiB | 2024-10-25 20:46:14 |
lomiri-weather-app-5.13.5-r1.apk | 235.3 KiB | 2024-10-25 20:46:14 |
lomiri-url-dispatcher-lang-0.1.3-r2.apk | 20.8 KiB | 2024-10-25 20:46:14 |
lomiri-url-dispatcher-dev-0.1.3-r2.apk | 3.3 KiB | 2024-10-25 20:46:14 |
lomiri-url-dispatcher-0.1.3-r2.apk | 38.7 KiB | 2024-10-25 20:46:14 |
lomiri-ui-toolkit-lang-1.3.5110-r0.apk | 96.4 KiB | 2025-01-10 10:37:07 |
lomiri-ui-toolkit-dev-1.3.5110-r0.apk | 167.7 KiB | 2025-01-10 10:37:07 |
lomiri-ui-toolkit-1.3.5110-r0.apk | 1.3 MiB | 2025-01-10 10:37:07 |
lomiri-ui-extras-lang-0.6.3-r1.apk | 55.3 KiB | 2024-10-25 20:46:14 |
lomiri-ui-extras-0.6.3-r1.apk | 258.0 KiB | 2024-10-25 20:46:14 |
lomiri-trust-store-lang-2.0.2-r6.apk | 32.0 KiB | 2024-10-25 20:46:14 |
lomiri-trust-store-dev-2.0.2-r6.apk | 9.5 KiB | 2024-10-25 20:46:14 |
lomiri-trust-store-2.0.2-r6.apk | 994.6 KiB | 2024-10-25 20:46:14 |
lomiri-thumbnailer-doc-3.0.4-r0.apk | 1.5 KiB | 2025-01-10 10:37:07 |
lomiri-thumbnailer-dev-3.0.4-r0.apk | 5.1 KiB | 2025-01-10 10:37:07 |
lomiri-thumbnailer-3.0.4-r0.apk | 220.0 KiB | 2025-01-10 10:37:07 |
lomiri-terminal-app-lang-2.0.3-r0.apk | 62.1 KiB | 2024-11-12 17:18:46 |
lomiri-terminal-app-doc-2.0.3-r0.apk | 2.6 KiB | 2024-11-12 17:18:45 |
lomiri-terminal-app-2.0.3-r0.apk | 63.2 KiB | 2024-11-12 17:18:45 |
lomiri-telephony-service-lang-0.5.3-r5.apk | 96.1 KiB | 2024-12-07 12:49:32 |
lomiri-telephony-service-0.5.3-r5.apk | 979.8 KiB | 2024-12-07 12:49:32 |
lomiri-system-settings-lang-1.3.0-r0.apk | 826.3 KiB | 2025-01-10 10:37:07 |
lomiri-system-settings-1.3.0-r0.apk | 1.0 MiB | 2025-01-10 10:37:07 |
lomiri-sounds-22.02-r0.apk | 18.0 MiB | 2024-10-25 20:46:14 |
lomiri-settings-components-lang-1.1.2-r0.apk | 97.8 KiB | 2025-01-10 10:37:07 |
lomiri-settings-components-1.1.2-r0.apk | 220.9 KiB | 2025-01-10 10:37:07 |
lomiri-schemas-0.1.6-r0.apk | 10.7 KiB | 2025-01-03 15:52:10 |
lomiri-notifications-1.3.1-r0.apk | 95.3 KiB | 2025-01-10 10:37:07 |
lomiri-location-service-lang-3.1.0-r7.apk | 24.3 KiB | 2024-10-25 20:46:12 |
lomiri-location-service-doc-3.1.0-r7.apk | 2.9 KiB | 2024-10-25 20:46:12 |
lomiri-location-service-dev-3.1.0-r7.apk | 31.6 KiB | 2024-10-25 20:46:12 |
lomiri-location-service-3.1.0-r7.apk | 2.1 MiB | 2024-10-25 20:46:12 |
lomiri-libusermetrics-lang-1.3.3-r0.apk | 47.4 KiB | 2024-10-25 20:46:12 |
lomiri-libusermetrics-doc-1.3.3-r0.apk | 229.7 KiB | 2024-10-25 20:46:12 |
lomiri-libusermetrics-dev-1.3.3-r0.apk | 8.1 KiB | 2024-10-25 20:46:12 |
lomiri-libusermetrics-1.3.3-r0.apk | 167.9 KiB | 2024-10-25 20:46:12 |
lomiri-indicator-network-lang-1.1.0-r0.apk | 190.2 KiB | 2025-01-10 10:37:07 |
lomiri-indicator-network-doc-1.1.0-r0.apk | 2.0 KiB | 2025-01-10 10:37:07 |
lomiri-indicator-network-dev-1.1.0-r0.apk | 9.5 KiB | 2025-01-10 10:37:07 |
lomiri-indicator-network-1.1.0-r0.apk | 602.0 KiB | 2025-01-10 10:37:07 |
lomiri-indicator-location-lang-0_git20231227-r0.apk | 33.2 KiB | 2024-10-25 20:46:12 |
lomiri-indicator-location-0_git20231227-r0.apk | 29.3 KiB | 2024-10-25 20:46:12 |
lomiri-history-service-dev-0.6-r2.apk | 11.1 KiB | 2024-12-07 12:49:32 |
lomiri-history-service-0.6-r2.apk | 348.2 KiB | 2024-12-07 12:49:32 |
lomiri-gallery-app-lang-3.0.2-r0.apk | 108.5 KiB | 2024-10-25 20:46:12 |
lomiri-gallery-app-3.0.2-r0.apk | 3.7 MiB | 2024-10-25 20:46:12 |
lomiri-filemanager-app-lang-1.0.4-r0.apk | 174.7 KiB | 2024-10-25 20:46:11 |
lomiri-filemanager-app-1.0.4-r0.apk | 332.7 KiB | 2024-10-25 20:46:11 |
lomiri-download-manager-lang-0.1.3-r3.apk | 31.3 KiB | 2024-10-25 20:46:11 |
lomiri-download-manager-doc-0.1.3-r3.apk | 3.0 MiB | 2024-10-25 20:46:11 |
lomiri-download-manager-dev-0.1.3-r3.apk | 17.7 KiB | 2024-10-25 20:46:11 |
lomiri-download-manager-0.1.3-r3.apk | 586.5 KiB | 2024-10-25 20:46:11 |
lomiri-docviewer-app-lang-3.0.4-r0.apk | 118.6 KiB | 2024-10-25 20:46:11 |
lomiri-docviewer-app-doc-3.0.4-r0.apk | 2.0 KiB | 2024-10-25 20:46:11 |
lomiri-docviewer-app-3.0.4-r0.apk | 226.1 KiB | 2024-10-25 20:46:11 |
lomiri-content-hub-lang-2.0.0-r0.apk | 42.1 KiB | 2024-10-25 20:46:11 |
lomiri-content-hub-doc-2.0.0-r0.apk | 900.9 KiB | 2024-10-25 20:46:11 |
lomiri-content-hub-dev-2.0.0-r0.apk | 11.4 KiB | 2024-10-25 20:46:11 |
lomiri-content-hub-2.0.0-r0.apk | 282.7 KiB | 2024-10-25 20:46:11 |
lomiri-clock-app-lang-4.1.0-r0.apk | 449.1 KiB | 2024-12-02 23:04:03 |
lomiri-clock-app-4.1.0-r0.apk | 229.3 KiB | 2024-12-02 23:04:03 |
lomiri-calculator-app-lang-4.0.2-r0.apk | 36.8 KiB | 2024-10-25 20:46:11 |
lomiri-calculator-app-4.0.2-r0.apk | 374.7 KiB | 2024-10-25 20:46:11 |
lomiri-app-launch-dev-0.1.10-r0.apk | 20.0 KiB | 2025-01-10 10:37:07 |
lomiri-app-launch-0.1.10-r0.apk | 338.1 KiB | 2025-01-10 10:37:07 |
lomiri-api-dev-0.2.2-r0.apk | 32.1 KiB | 2025-01-10 10:37:07 |
lomiri-api-0.2.2-r0.apk | 33.2 KiB | 2025-01-10 10:37:07 |
lomiri-action-api-dev-1.1.3-r1.apk | 5.4 KiB | 2024-10-25 20:46:11 |
lomiri-action-api-1.1.3-r1.apk | 80.5 KiB | 2024-10-25 20:46:11 |
lolcat-1.4-r0.apk | 9.3 KiB | 2024-10-25 20:46:11 |
lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-10-25 20:46:11 |
lol-html-1.1.1-r1.apk | 447.0 KiB | 2024-10-25 20:46:11 |
logwatch-doc-7.10-r1.apk | 38.1 KiB | 2024-10-25 20:46:11 |
logwatch-7.10-r1.apk | 483.5 KiB | 2024-10-25 20:46:11 |
logtop-libs-0.7-r0.apk | 15.0 KiB | 2024-10-25 20:46:11 |
logtop-doc-0.7-r0.apk | 2.8 KiB | 2024-10-25 20:46:11 |
logtop-0.7-r0.apk | 13.9 KiB | 2024-10-25 20:46:11 |
logc-libs-dev-0.1.0-r0.apk | 6.1 KiB | 2024-10-25 20:46:11 |
logc-libs-0.1.0-r0.apk | 1.4 KiB | 2024-10-25 20:46:11 |
logc-libevent-0.1.0-r0.apk | 3.3 KiB | 2024-10-25 20:46:11 |
logc-dev-0.5.0-r0.apk | 8.9 KiB | 2024-10-25 20:46:11 |
logc-czmq-0.1.0-r0.apk | 4.0 KiB | 2024-10-25 20:46:11 |
logc-config-0.5.0-r0.apk | 5.0 KiB | 2024-10-25 20:46:11 |
logc-argp-0.5.0-r0.apk | 16.2 KiB | 2024-10-25 20:46:11 |
logc-0.5.0-r0.apk | 8.2 KiB | 2024-10-25 20:46:11 |
log4cxx-dev-1.1.0-r2.apk | 135.2 KiB | 2024-12-14 21:14:20 |
log4cxx-1.1.0-r2.apk | 526.3 KiB | 2024-12-14 21:14:20 |
log4cpp-dev-1.1.4-r1.apk | 39.0 KiB | 2024-10-25 20:46:11 |
log4cpp-1.1.4-r1.apk | 74.9 KiB | 2024-10-25 20:46:11 |
lockrun-1.1.3-r1.apk | 5.1 KiB | 2024-10-25 20:46:11 |
llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-10-25 20:46:11 |
llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-10-25 20:46:11 |
llmnrd-0.7-r1.apk | 16.1 KiB | 2024-10-25 20:46:11 |
lizardfs-metalogger-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-12-03 14:29:24 |
lizardfs-metalogger-3.13.0-r14.apk | 176.3 KiB | 2024-12-03 14:29:24 |
lizardfs-master-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-12-03 14:29:24 |
lizardfs-master-3.13.0-r14.apk | 1.1 MiB | 2024-12-03 14:29:24 |
lizardfs-doc-3.13.0-r14.apk | 11.4 KiB | 2024-12-03 14:29:24 |
lizardfs-client-3.13.0-r14.apk | 1.4 MiB | 2024-12-03 14:29:24 |
lizardfs-chunkserver-openrc-3.13.0-r14.apk | 1.6 KiB | 2024-12-03 14:29:24 |
lizardfs-chunkserver-3.13.0-r14.apk | 410.4 KiB | 2024-12-03 14:29:24 |
lizardfs-cgiserv-openrc-3.13.0-r14.apk | 1.9 KiB | 2024-12-03 14:29:24 |
lizardfs-cgiserv-3.13.0-r14.apk | 7.3 KiB | 2024-12-03 14:29:24 |
lizardfs-cgi-3.13.0-r14.apk | 31.4 KiB | 2024-12-03 14:29:24 |
lizardfs-bash-completion-3.13.0-r14.apk | 1.8 KiB | 2024-12-03 14:29:24 |
lizardfs-3.13.0-r14.apk | 145.8 KiB | 2024-12-03 14:29:24 |
litterbox-doc-1.9-r1.apk | 7.2 KiB | 2024-10-25 20:46:10 |
litterbox-1.9-r1.apk | 34.8 KiB | 2024-10-25 20:46:10 |
litehtml-static-0.9-r1.apk | 985.6 KiB | 2025-01-04 04:33:25 |
litehtml-dev-0.9-r1.apk | 41.7 KiB | 2025-01-04 04:33:25 |
litehtml-0.9-r1.apk | 324.5 KiB | 2025-01-04 04:33:25 |
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk | 2.1 KiB | 2024-10-25 20:46:10 |
listenbrainz-mpd-fish-completion-2.3.8-r0.apk | 1.8 KiB | 2024-10-25 20:46:10 |
listenbrainz-mpd-doc-2.3.8-r0.apk | 14.4 KiB | 2024-10-25 20:46:10 |
listenbrainz-mpd-bash-completion-2.3.8-r0.apk | 2.1 KiB | 2024-10-25 20:46:10 |
listenbrainz-mpd-2.3.8-r0.apk | 1.3 MiB | 2024-10-25 20:46:10 |
liquibase-doc-4.9.1-r0.apk | 57.1 KiB | 2024-10-25 20:46:10 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-10-25 20:46:10 |
linuxptp-tz2alt-4.4-r0.apk | 20.1 KiB | 2024-11-17 17:18:52 |
linuxptp-ts2phc-4.4-r0.apk | 36.6 KiB | 2024-11-17 17:18:52 |
linuxptp-timemaster-4.4-r0.apk | 16.0 KiB | 2024-11-17 17:18:52 |
linuxptp-ptp4l-4.4-r0.apk | 79.6 KiB | 2024-11-17 17:18:52 |
linuxptp-pmc-4.4-r0.apk | 36.1 KiB | 2024-11-17 17:18:52 |
linuxptp-phc_ctl-4.4-r0.apk | 10.2 KiB | 2024-11-17 17:18:52 |
linuxptp-phc2sys-4.4-r0.apk | 37.4 KiB | 2024-11-17 17:18:52 |
linuxptp-nsm-4.4-r0.apk | 33.0 KiB | 2024-11-17 17:18:52 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4.4 KiB | 2024-11-17 17:18:52 |
linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-11-17 17:18:52 |
linuxptp-4.4-r0.apk | 1.2 KiB | 2024-11-17 17:18:52 |
linuxkit-doc-1.5.3-r0.apk | 10.3 KiB | 2025-01-03 15:52:10 |
linuxkit-1.5.3-r0.apk | 12.2 MiB | 2025-01-03 15:52:10 |
linux-timemachine-1.3.2-r0.apk | 5.0 KiB | 2024-10-25 20:46:05 |
linux-apfs-rw-src-0.3.8-r0.apk | 197.0 KiB | 2024-10-25 20:46:05 |
linphone-libs-5.3.38-r0.apk | 2.9 MiB | 2024-10-25 20:46:05 |
linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-10-25 20:46:05 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-10-25 20:46:05 |
linkquisition-1.6.1-r0.apk | 11.8 MiB | 2024-10-25 20:46:04 |
limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-10-25 20:46:03 |
limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-10-25 20:46:03 |
limnoria-20240828-r0.apk | 1.1 MiB | 2024-10-25 20:46:03 |
licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-10-25 20:46:03 |
licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-10-25 20:46:03 |
libzvbi-static-0.2.43-r0.apk | 465.2 KiB | 2024-12-07 20:33:56 |
libzvbi-dev-0.2.43-r0.apk | 14.3 KiB | 2024-12-07 20:33:56 |
libzvbi-0.2.43-r0.apk | 234.9 KiB | 2024-12-07 20:33:56 |
libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-01-04 21:55:36 |
libzrtpcpp-4.7.0-r0.apk | 164.6 KiB | 2025-01-04 21:55:36 |
libzn_poly-static-0.9.2-r2.apk | 84.7 KiB | 2024-10-25 20:46:03 |
libzn_poly-dev-0.9.2-r2.apk | 8.1 KiB | 2024-10-25 20:46:03 |
libzn_poly-0.9.2-r2.apk | 53.9 KiB | 2024-10-25 20:46:03 |
libxml++-dev-5.0.3-r1.apk | 30.3 KiB | 2024-10-25 20:46:03 |
libxml++-5.0.3-r1.apk | 63.2 KiB | 2024-10-25 20:46:03 |
libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-10-25 20:46:03 |
libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-10-25 20:46:03 |
libwhich-1.2.0-r0.apk | 4.3 KiB | 2024-10-25 20:46:03 |
libwbxml-doc-0.11.8-r0.apk | 28.5 KiB | 2024-10-25 20:46:03 |
libwbxml-dev-0.11.8-r0.apk | 9.2 KiB | 2024-10-25 20:46:03 |
libwbxml-0.11.8-r0.apk | 75.5 KiB | 2024-10-25 20:46:03 |
libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-10-25 20:46:03 |
libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-10-25 20:46:03 |
libvoikko-4.3.2-r1.apk | 125.4 KiB | 2024-10-25 20:46:03 |
libvmaf-dev-3.0.0-r0.apk | 256.5 KiB | 2024-10-25 20:46:00 |
libvmaf-3.0.0-r0.apk | 330.3 KiB | 2024-10-25 20:46:00 |
libvisio2svg-utils-0.5.5-r3.apk | 127.9 KiB | 2024-10-25 20:46:00 |
libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-10-25 20:45:59 |
libvisio2svg-0.5.5-r3.apk | 16.0 KiB | 2024-10-25 20:45:59 |
libvdpau-va-gl-0.4.2-r0.apk | 59.1 KiB | 2024-10-25 20:45:59 |
libupstart-2.0.3-r5.apk | 59.7 KiB | 2024-10-25 20:45:59 |
libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-10-25 20:45:59 |
libuninameslist-dev-20230916-r0.apk | 3.4 KiB | 2024-10-25 20:45:59 |
libuninameslist-20230916-r0.apk | 553.2 KiB | 2024-10-25 20:45:59 |
libuecc-dev-7-r3.apk | 4.7 KiB | 2024-10-25 20:45:59 |
libuecc-7-r3.apk | 10.0 KiB | 2024-10-25 20:45:59 |
libucl-doc-0.9.0-r0.apk | 8.8 KiB | 2024-10-25 20:45:59 |
libucl-dev-0.9.0-r0.apk | 133.4 KiB | 2024-10-25 20:45:59 |
libucl-0.9.0-r0.apk | 54.2 KiB | 2024-10-25 20:45:59 |
libtsm-dev-4.0.2-r1.apk | 9.5 KiB | 2024-10-25 20:45:59 |
libtsm-4.0.2-r1.apk | 26.8 KiB | 2024-10-25 20:45:59 |
libtommath-dev-1.2.1-r0.apk | 87.2 KiB | 2024-10-25 20:45:59 |
libtommath-1.2.1-r0.apk | 40.9 KiB | 2024-10-25 20:45:59 |
libtinycbor-0.6.0-r1.apk | 22.3 KiB | 2024-10-25 20:45:59 |
libtins-doc-4.5-r1.apk | 2.3 KiB | 2024-10-25 20:45:59 |
libtins-dev-4.5-r1.apk | 141.3 KiB | 2024-10-25 20:45:59 |
libtins-4.5-r1.apk | 312.6 KiB | 2024-10-25 20:45:59 |
libtcmu-dev-1.6.0-r6.apk | 1.5 KiB | 2024-10-25 20:45:59 |
libtcmu-1.6.0-r6.apk | 37.3 KiB | 2024-10-25 20:45:59 |
libsymmetrica-static-3.0.1-r2.apk | 11.7 MiB | 2024-10-25 20:45:59 |
libsymmetrica-dev-3.0.1-r2.apk | 32.0 KiB | 2024-10-25 20:45:59 |
libsymmetrica-3.0.1-r2.apk | 4.1 MiB | 2024-10-25 20:45:59 |
libstirshaken-tools-0_git20240208-r2.apk | 162.0 KiB | 2024-10-25 20:45:58 |
libstirshaken-dev-0_git20240208-r2.apk | 125.2 KiB | 2024-10-25 20:45:58 |
libstirshaken-0_git20240208-r2.apk | 53.1 KiB | 2024-10-25 20:45:58 |
libspatialindex-dev-0_git20210205-r1.apk | 22.0 KiB | 2024-10-25 20:45:58 |
libspatialindex-0_git20210205-r1.apk | 307.0 KiB | 2024-10-25 20:45:58 |
libsirocco-dev-2.1.0-r2.apk | 1.9 KiB | 2024-10-25 20:45:58 |
libsirocco-2.1.0-r2.apk | 62.1 KiB | 2024-10-25 20:45:58 |
libsimplebluez-0.6.1-r2.apk | 129.1 KiB | 2024-12-14 21:14:20 |
libsimpleble-c-0.6.1-r2.apk | 15.5 KiB | 2024-12-14 21:14:20 |
libsimpleble-0.6.1-r2.apk | 183.0 KiB | 2024-12-14 21:14:20 |
libsigrokdecode-dev-0.5.3-r4.apk | 58.5 KiB | 2024-10-25 20:45:58 |
libsigrokdecode-0.5.3-r4.apk | 335.1 KiB | 2024-10-25 20:45:58 |
libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-10-25 20:45:58 |
libsigrok-0.5.2-r3.apk | 515.8 KiB | 2024-10-25 20:45:58 |
libshadowsocks-libev-3.3.5-r4.apk | 49.5 KiB | 2024-10-25 20:45:58 |
libserialport-dev-0.1.1-r1.apk | 61.1 KiB | 2024-10-25 20:45:58 |
libserialport-0.1.1-r1.apk | 19.3 KiB | 2024-10-25 20:45:58 |
libsemigroups-static-2.7.3-r1.apk | 2.9 MiB | 2024-12-14 21:14:20 |
libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-12-14 21:14:20 |
libsemigroups-2.7.3-r1.apk | 776.6 KiB | 2024-12-14 21:14:20 |
libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-10-25 20:45:58 |
libsemanage-dev-3.6-r1.apk | 221.3 KiB | 2024-10-25 20:45:58 |
libsemanage-3.6-r1.apk | 94.4 KiB | 2024-10-25 20:45:58 |
libsds-dev-2.0.0-r1.apk | 4.0 KiB | 2024-10-25 20:45:58 |
libsds-2.0.0-r1.apk | 10.2 KiB | 2024-10-25 20:45:58 |
libsbsms-dev-2.3.0-r0.apk | 159.2 KiB | 2024-10-25 20:45:58 |
libsbsms-2.3.0-r0.apk | 105.9 KiB | 2024-10-25 20:45:58 |
libretro-xrick-0_git20220331-r0.apk | 122.8 KiB | 2024-10-25 20:45:58 |
libretro-tyrquake-0_git20220409-r0.apk | 421.4 KiB | 2024-10-25 20:45:58 |
libretro-theodore-3.1-r0.apk | 870.8 KiB | 2024-10-25 20:45:58 |
libretro-snes9x-0_git20240819-r0.apk | 690.2 KiB | 2024-10-25 20:45:58 |
libretro-scummvm-0_git20210325-r0.apk | 21.0 MiB | 2024-10-25 20:45:58 |
libretro-ppsspp-0_git20210516-r14.apk | 2.2 MiB | 2024-10-25 20:45:56 |
libretro-pocketcdg-0_git20220327-r0.apk | 87.7 KiB | 2024-10-25 20:45:56 |
libretro-picodrive-0_git20220405-r0.apk | 533.3 KiB | 2024-10-25 20:45:56 |
libretro-opera-0_git20211214-r0.apk | 191.5 KiB | 2024-10-25 20:45:56 |
libretro-openlara-0_git20210121-r0.apk | 564.6 KiB | 2024-10-25 20:45:56 |
libretro-nxengine-0_git20220301-r0.apk | 324.4 KiB | 2024-10-25 20:45:56 |
libretro-neocd-0_git20220325-r1.apk | 481.4 KiB | 2024-10-25 20:45:56 |
libretro-mu-0_git20220317-r0.apk | 189.1 KiB | 2024-10-25 20:45:56 |
libretro-mame2003-0_git20240904-r0.apk | 8.1 MiB | 2024-10-25 20:45:56 |
libretro-mame2000-0_git20240701-r0.apk | 3.4 MiB | 2024-10-25 20:45:55 |
libretro-gw-0_git20220410-r0.apk | 194.2 KiB | 2024-10-25 20:45:55 |
libretro-gong-0_git20220319-r0.apk | 9.6 KiB | 2024-10-25 20:45:55 |
libretro-gme-0_git20240628-r0.apk | 195.5 KiB | 2024-10-25 20:45:55 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 1.1 MiB | 2024-10-25 20:45:55 |
libretro-fuse-0_git20220417-r0.apk | 982.6 KiB | 2024-10-25 20:45:55 |
libretro-frodo-0_git20221221-r0.apk | 167.6 KiB | 2024-10-25 20:45:55 |
libretro-freeintv-0_git20220319-r0.apk | 36.6 KiB | 2024-10-25 20:45:55 |
libretro-fbneo-0_git20220416-r0.apk | 12.3 MiB | 2024-10-25 20:45:55 |
libretro-dinothawr-0_git20220401-r0.apk | 143.1 KiB | 2024-10-25 20:45:54 |
libretro-daphne-0_git20210108-r2.apk | 627.7 KiB | 2024-10-25 20:45:54 |
libretro-crocods-0_git20210314-r1.apk | 287.6 KiB | 2024-10-25 20:45:54 |
libretro-cap32-0_git20220419-r0.apk | 305.0 KiB | 2024-10-25 20:45:54 |
libretro-cannonball-0_git20220309-r6.apk | 270.0 KiB | 2024-10-25 20:45:54 |
libretro-bluemsx-0_git20240808-r0.apk | 675.8 KiB | 2024-10-25 20:45:54 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 491.1 KiB | 2024-10-25 20:45:54 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 345.9 KiB | 2024-10-25 20:45:54 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 484.7 KiB | 2024-10-25 20:45:54 |
libretro-atari800-0_git20240924-r0.apk | 290.8 KiB | 2024-10-25 20:45:54 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.3 KiB | 2024-10-25 20:45:53 |
libraqm-doc-0.10.2-r0.apk | 21.1 KiB | 2024-10-25 20:45:53 |
libraqm-dev-0.10.2-r0.apk | 4.5 KiB | 2024-10-25 20:45:53 |
libraqm-0.10.2-r0.apk | 11.2 KiB | 2024-10-25 20:45:53 |
libqtdbustest-0.3.3-r0.apk | 31.5 KiB | 2025-01-10 10:37:07 |
libqtdbusmock-0.9.1-r1.apk | 68.6 KiB | 2024-10-25 20:45:53 |
libqofono-qt6-0.124-r0.apk | 416.6 KiB | 2025-01-10 12:55:43 |
libqofono-qt5-0.124-r0.apk | 289.9 KiB | 2025-01-10 12:55:43 |
libqofono-dev-0.124-r0.apk | 42.1 KiB | 2025-01-10 12:55:43 |
libqofono-0.124-r0.apk | 1.2 KiB | 2025-01-10 12:55:43 |
libppl_c-1.2-r1.apk | 1.4 MiB | 2024-10-25 20:45:53 |
libppl-1.2-r1.apk | 522.4 KiB | 2024-10-25 20:45:53 |
libopensmtpd-doc-0.7-r0.apk | 5.2 KiB | 2024-10-25 20:45:53 |
libopensmtpd-dev-0.7-r0.apk | 3.3 KiB | 2024-10-25 20:45:53 |
libopensmtpd-0.7-r0.apk | 18.9 KiB | 2024-10-25 20:45:53 |
liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-10-25 20:45:53 |
liboggz-dev-1.1.1-r2.apk | 354.4 KiB | 2024-10-25 20:45:53 |
liboggz-1.1.1-r2.apk | 100.7 KiB | 2024-10-25 20:45:53 |
libofx-tools-0.10.9-r1.apk | 104.2 KiB | 2024-10-25 20:45:53 |
libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-10-25 20:45:53 |
libofx-0.10.9-r1.apk | 63.3 KiB | 2024-10-25 20:45:53 |
libnxml-dev-0.18.3-r0.apk | 47.0 KiB | 2024-10-25 20:45:53 |
libnxml-0.18.3-r0.apk | 20.6 KiB | 2024-10-25 20:45:53 |
libntl-static-11.5.1-r4.apk | 3.4 MiB | 2024-10-25 20:45:53 |
libntl-doc-11.5.1-r4.apk | 373.6 KiB | 2024-10-25 20:45:53 |
libntl-dev-11.5.1-r4.apk | 159.0 KiB | 2024-10-25 20:45:53 |
libntl-11.5.1-r4.apk | 1.1 MiB | 2024-10-25 20:45:53 |
libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-10-25 20:45:53 |
libnih-dev-1.0.3-r7.apk | 165.5 KiB | 2024-10-25 20:45:53 |
libnih-1.0.3-r7.apk | 111.2 KiB | 2024-10-25 20:45:53 |
libnfc-tools-1.8.0-r1.apk | 59.6 KiB | 2024-10-25 20:45:52 |
libnfc-doc-1.8.0-r1.apk | 22.0 KiB | 2024-10-25 20:45:52 |
libnfc-dev-1.8.0-r1.apk | 7.9 KiB | 2024-10-25 20:45:52 |
libnfc-1.8.0-r1.apk | 57.9 KiB | 2024-10-25 20:45:52 |
libnest2d-dev-0.4-r6.apk | 71.2 KiB | 2024-10-25 20:45:52 |
libnest2d-0.4-r6.apk | 1.4 KiB | 2024-10-25 20:45:52 |
libnbcompat-dev-1.0.2-r0.apk | 92.6 KiB | 2024-10-25 20:45:52 |
libnbcompat-1.0.2-r0.apk | 34.4 KiB | 2024-10-25 20:45:52 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-10-25 20:45:52 |
libmysofa-dev-1.3.2-r0.apk | 7.1 KiB | 2024-10-25 20:45:52 |
libmysofa-1.3.2-r0.apk | 26.2 KiB | 2024-10-25 20:45:52 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.8 KiB | 2024-10-25 20:45:52 |
libmygpo-qt-1.1.0-r2.apk | 83.5 KiB | 2024-10-25 20:45:52 |
libmustache-0.5.0-r1.apk | 128.7 KiB | 2024-10-25 20:45:52 |
libmrss-dev-0.19.2-r1.apk | 53.7 KiB | 2024-10-25 20:45:52 |
libmrss-0.19.2-r1.apk | 19.5 KiB | 2024-10-25 20:45:52 |
libmpfi-static-1.5.4-r2.apk | 93.0 KiB | 2024-10-25 20:45:52 |
libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-10-25 20:45:52 |
libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-10-25 20:45:52 |
libmpfi-1.5.4-r2.apk | 39.1 KiB | 2024-10-25 20:45:52 |
libmhash-doc-0.9.9.9-r3.apk | 8.1 KiB | 2024-10-25 20:45:52 |
libmhash-dev-0.9.9.9-r3.apk | 119.7 KiB | 2024-10-25 20:45:52 |
libmhash-0.9.9.9-r3.apk | 96.4 KiB | 2024-10-25 20:45:52 |
libmedc-python-pyc-4.1.1-r4.apk | 476.5 KiB | 2024-10-25 20:45:52 |
libmedc-python-4.1.1-r4.apk | 1.8 MiB | 2024-10-25 20:45:52 |
libmedc-doc-4.1.1-r4.apk | 40.5 MiB | 2024-10-25 20:45:52 |
libmedc-dev-4.1.1-r4.apk | 37.5 KiB | 2024-10-25 20:45:48 |
libmedc-4.1.1-r4.apk | 589.5 KiB | 2024-10-25 20:45:48 |
libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-10-25 20:45:48 |
libmdf-1.0.29-r0.apk | 35.3 KiB | 2024-10-25 20:45:48 |
libmdbx-doc-0.11.8-r0.apk | 9.1 KiB | 2024-10-25 20:45:48 |
libmdbx-dev-0.11.8-r0.apk | 93.4 KiB | 2024-10-25 20:45:48 |
libmdbx-dbg-0.11.8-r0.apk | 2.8 MiB | 2024-10-25 20:45:48 |
libmdbx-0.11.8-r0.apk | 802.0 KiB | 2024-10-25 20:45:47 |
libm4rie-static-20200125-r4.apk | 278.0 KiB | 2024-10-25 20:45:47 |
libm4rie-dev-20200125-r4.apk | 24.4 KiB | 2024-10-25 20:45:47 |
libm4rie-20200125-r4.apk | 175.5 KiB | 2024-10-25 20:45:47 |
libm4ri-static-20240729-r1.apk | 193.4 KiB | 2024-10-25 20:45:47 |
libm4ri-dev-20240729-r1.apk | 31.5 KiB | 2024-10-25 20:45:47 |
libm4ri-20240729-r1.apk | 122.4 KiB | 2024-10-25 20:45:47 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-10-25 20:45:47 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 160.1 KiB | 2024-10-25 20:45:47 |
libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-10-25 20:45:47 |
libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-10-25 20:45:47 |
libjodycode-3.1.1-r0.apk | 7.8 KiB | 2024-10-25 20:45:47 |
libiscsi-utils-1.19.0-r2.apk | 83.8 KiB | 2024-10-25 20:45:47 |
libiscsi-static-1.19.0-r2.apk | 122.6 KiB | 2024-10-25 20:45:47 |
libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-10-25 20:45:47 |
libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-10-25 20:45:47 |
libiscsi-1.19.0-r2.apk | 59.4 KiB | 2024-10-25 20:45:47 |
libirecovery-progs-1.2.1-r0.apk | 8.2 KiB | 2024-10-30 22:44:54 |
libirecovery-dev-1.2.1-r0.apk | 4.1 KiB | 2024-10-30 22:44:54 |
libirecovery-1.2.1-r0.apk | 27.1 KiB | 2024-10-30 22:44:54 |
libiml-static-1.0.5-r3.apk | 146.3 KiB | 2024-10-25 20:45:47 |
libiml-dev-1.0.5-r3.apk | 3.9 KiB | 2024-10-25 20:45:47 |
libiml-1.0.5-r3.apk | 84.1 KiB | 2024-10-25 20:45:47 |
libiio-tools-0.25-r2.apk | 84.8 KiB | 2024-10-25 20:45:47 |
libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-10-25 20:45:47 |
libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-10-25 20:45:47 |
libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-10-25 20:45:47 |
libiio-0.25-r2.apk | 53.1 KiB | 2024-10-25 20:45:47 |
libigraph-dev-0.10.15-r0.apk | 90.5 KiB | 2024-11-15 17:16:23 |
libigraph-0.10.15-r0.apk | 1.3 MiB | 2024-11-15 17:16:23 |
libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-10-30 22:44:54 |
libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-10-30 22:44:54 |
libideviceactivation-1.1.1-r5.apk | 16.7 KiB | 2024-10-30 22:44:54 |
libhwpwm-doc-0.4.4-r0.apk | 14.0 KiB | 2024-10-25 20:45:47 |
libhwpwm-dev-0.4.4-r0.apk | 7.6 KiB | 2024-10-25 20:45:47 |
libhwpwm-0.4.4-r0.apk | 5.5 KiB | 2024-10-25 20:45:47 |
libhomfly-dev-1.02_p6-r1.apk | 28.7 KiB | 2024-10-25 20:45:47 |
libhomfly-1.02_p6-r1.apk | 15.9 KiB | 2024-10-25 20:45:47 |
libgrapheme-doc-1-r0.apk | 8.2 KiB | 2024-10-25 20:45:47 |
libgrapheme-dev-1-r0.apk | 12.1 KiB | 2024-10-25 20:45:47 |
libgrapheme-1-r0.apk | 10.0 KiB | 2024-10-25 20:45:47 |
libgivaro-static-4.2.0-r2.apk | 160.5 KiB | 2024-10-25 20:45:47 |
libgivaro-dev-4.2.0-r2.apk | 245.2 KiB | 2024-10-25 20:45:47 |
libgivaro-4.2.0-r2.apk | 75.9 KiB | 2024-10-25 20:45:47 |
libfyaml-doc-0.9-r0.apk | 7.4 KiB | 2024-10-25 20:45:47 |
libfyaml-dev-0.9-r0.apk | 42.3 KiB | 2024-10-25 20:45:47 |
libfyaml-0.9-r0.apk | 295.0 KiB | 2024-10-25 20:45:47 |
libfort-dev-0.4.2-r0.apk | 17.7 KiB | 2024-10-25 20:45:47 |
libfort-0.4.2-r0.apk | 31.9 KiB | 2024-10-25 20:45:47 |
libfoma-0.10.0_git20240712-r0.apk | 104.3 KiB | 2024-10-25 20:45:47 |
libfishsound-doc-1.0.0-r1.apk | 75.5 KiB | 2024-10-25 20:45:47 |
libfishsound-dev-1.0.0-r1.apk | 111.9 KiB | 2024-10-25 20:45:47 |
libfishsound-1.0.0-r1.apk | 10.1 KiB | 2024-10-25 20:45:47 |
libettercap-0.8.3.1-r3.apk | 199.3 KiB | 2024-10-25 20:45:46 |
liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-10-25 20:45:46 |
liberasurecode-1.6.3-r1.apk | 41.7 KiB | 2024-10-25 20:45:46 |
libemf2svg-utils-1.1.0-r2.apk | 18.4 KiB | 2024-10-25 20:45:46 |
libemf2svg-1.1.0-r2.apk | 156.9 KiB | 2024-10-25 20:45:46 |
libecm-7.0.5-r1.apk | 231.5 KiB | 2024-10-25 20:45:46 |
libecap-static-1.0.1-r1.apk | 26.7 KiB | 2024-10-25 20:45:46 |
libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-10-25 20:45:46 |
libecap-1.0.1-r1.apk | 13.9 KiB | 2024-10-25 20:45:46 |
libeantic-dev-2.0.2-r1.apk | 17.6 KiB | 2024-10-25 20:45:46 |
libeantic-2.0.2-r1.apk | 74.3 KiB | 2024-10-25 20:45:46 |
libdng-utils-0.2.1-r0.apk | 6.0 KiB | 2024-12-27 22:06:02 |
libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-12-27 22:06:02 |
libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-12-27 22:06:02 |
libdng-0.2.1-r0.apk | 11.7 KiB | 2024-12-27 22:06:02 |
libcyaml-static-1.4.2-r0.apk | 43.9 KiB | 2024-10-25 20:45:46 |
libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-10-25 20:45:46 |
libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-10-25 20:45:46 |
libcyaml-1.4.2-r0.apk | 21.4 KiB | 2024-10-25 20:45:46 |
libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-10-25 20:45:46 |
libctl-dev-4.5.1-r1.apk | 38.5 KiB | 2024-10-25 20:45:46 |
libctl-4.5.1-r1.apk | 99.1 KiB | 2024-10-25 20:45:46 |
libcotp-dev-3.1.0-r0.apk | 2.5 KiB | 2024-10-25 20:45:46 |
libcotp-3.1.0-r0.apk | 8.1 KiB | 2024-10-25 20:45:46 |
libcorkipset-tools-1.1.1-r4.apk | 11.5 KiB | 2024-10-25 20:45:46 |
libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-10-25 20:45:46 |
libcorkipset-1.1.1-r4.apk | 13.5 KiB | 2024-10-25 20:45:46 |
libcork-tools-0.15.0-r7.apk | 4.6 KiB | 2024-10-25 20:45:46 |
libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-10-25 20:45:46 |
libcork-0.15.0-r7.apk | 35.2 KiB | 2024-10-25 20:45:46 |
libcli-1.10.7-r0.apk | 31.2 KiB | 2024-10-25 20:45:46 |
libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-10-25 20:45:46 |
libbsoncxx-3.8.0-r0.apk | 43.8 KiB | 2024-10-25 20:45:46 |
libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-11-17 04:16:58 |
libbraiding-1.3.1-r0.apk | 90.3 KiB | 2024-11-17 04:16:58 |
libbloom-dev-2.0-r0.apk | 3.5 KiB | 2024-10-25 20:45:46 |
libbloom-2.0-r0.apk | 8.6 KiB | 2024-10-25 20:45:46 |
libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-10-25 20:45:46 |
libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-10-25 20:45:46 |
libbamf-0.5.6-r1.apk | 153.1 KiB | 2024-10-25 20:45:46 |
libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-10-25 20:45:46 |
libb64-dev-2.0.0.1-r0.apk | 6.7 KiB | 2024-10-25 20:45:46 |
libb64-2.0.0.1-r0.apk | 4.3 KiB | 2024-10-25 20:45:46 |
libaudec-tools-0.3.4-r3.apk | 28.2 KiB | 2024-10-25 20:45:46 |
libaudec-static-0.3.4-r3.apk | 43.2 KiB | 2024-10-25 20:45:46 |
libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-10-25 20:45:46 |
libaudec-0.3.4-r3.apk | 28.1 KiB | 2024-10-25 20:45:46 |
libarb-static-2.23.0-r2.apk | 3.2 MiB | 2024-10-25 20:45:46 |
libarb-dev-2.23.0-r2.apk | 53.9 KiB | 2024-10-25 20:45:46 |
libarb-2.23.0-r2.apk | 1.6 MiB | 2024-10-25 20:45:46 |
libantlr4-dev-4.13.2-r0.apk | 1.5 MiB | 2024-12-12 11:01:19 |
libantlr4-4.13.2-r0.apk | 446.7 KiB | 2024-12-12 11:01:19 |
libantlr3c-dev-3.4-r3.apk | 58.3 KiB | 2024-10-25 20:45:46 |
libantlr3c-3.4-r3.apk | 53.2 KiB | 2024-10-25 20:45:46 |
libantic-dev-0.2.5-r0.apk | 6.3 KiB | 2024-10-25 20:45:46 |
libantic-0.2.5-r0.apk | 47.1 KiB | 2024-10-25 20:45:46 |
libabigail-tools-2.3-r0.apk | 113.9 KiB | 2024-10-25 20:45:46 |
libabigail-doc-2.3-r0.apk | 61.1 KiB | 2024-10-25 20:45:46 |
libabigail-dev-2.3-r0.apk | 2.4 MiB | 2024-10-25 20:45:46 |
libabigail-bash-completion-2.3-r0.apk | 2.9 KiB | 2024-10-25 20:45:46 |
libabigail-2.3-r0.apk | 863.8 KiB | 2024-10-25 20:45:46 |
lgogdownloader-doc-3.16-r0.apk | 8.5 KiB | 2024-12-25 19:27:23 |
lgogdownloader-3.16-r0.apk | 368.8 KiB | 2024-12-25 19:27:23 |
lfm-pyc-3.1-r4.apk | 133.6 KiB | 2024-10-25 20:45:46 |
lfm-doc-3.1-r4.apk | 2.7 KiB | 2024-10-25 20:45:46 |
lfm-3.1-r4.apk | 88.1 KiB | 2024-10-25 20:45:46 |
levmar-dev-2.6-r0.apk | 83.2 KiB | 2024-10-25 20:45:46 |
legume-doc-1.4.2-r4.apk | 12.4 KiB | 2024-10-25 20:45:41 |
legume-1.4.2-r4.apk | 1.4 MiB | 2024-10-25 20:45:41 |
lefthook-doc-1.8.5-r0.apk | 2.2 KiB | 2024-12-05 13:03:21 |
lefthook-1.8.5-r0.apk | 3.8 MiB | 2024-12-05 13:03:21 |
ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-10-25 20:45:40 |
ledmon-1.0.0-r0.apk | 80.7 KiB | 2024-10-25 20:45:40 |
ldapdomaindump-pyc-0.9.4-r1.apk | 30.5 KiB | 2024-10-25 20:45:40 |
ldapdomaindump-0.9.4-r1.apk | 18.3 KiB | 2024-10-25 20:45:40 |
lcalc-libs-2.0.5-r2.apk | 232.1 KiB | 2024-10-25 20:45:40 |
lcalc-doc-2.0.5-r2.apk | 437.2 KiB | 2024-10-25 20:45:40 |
lcalc-dev-2.0.5-r2.apk | 57.1 KiB | 2024-10-25 20:45:40 |
lcalc-2.0.5-r2.apk | 196.0 KiB | 2024-10-25 20:45:40 |
laze-zsh-completion-0.1.27-r0.apk | 3.5 KiB | 2024-12-09 16:25:34 |
laze-fish-completion-0.1.27-r0.apk | 3.3 KiB | 2024-12-09 16:25:34 |
laze-doc-0.1.27-r0.apk | 3.5 KiB | 2024-12-09 16:25:34 |
laze-bash-completion-0.1.27-r0.apk | 3.1 KiB | 2024-12-09 16:25:34 |
laze-0.1.27-r0.apk | 968.5 KiB | 2024-12-09 16:25:34 |
laminar-zsh-completion-1.3-r5.apk | 1.8 KiB | 2024-12-24 10:22:24 |
laminar-openrc-1.3-r5.apk | 1.8 KiB | 2024-12-24 10:22:24 |
laminar-doc-1.3-r5.apk | 3.7 KiB | 2024-12-24 10:22:24 |
laminar-bash-completion-1.3-r5.apk | 1.8 KiB | 2024-12-24 10:22:24 |
laminar-1.3-r5.apk | 294.3 KiB | 2024-12-24 10:22:24 |
kubesplit-pyc-0.3.3-r1.apk | 12.9 KiB | 2024-10-25 20:45:40 |
kubesplit-0.3.3-r1.apk | 12.6 KiB | 2024-10-25 20:45:40 |
kubeseal-doc-0.27.3-r0.apk | 5.5 KiB | 2024-12-07 03:41:36 |
kubeseal-0.27.3-r0.apk | 9.7 MiB | 2024-12-07 03:41:36 |
kubepug-zsh-completion-1.7.1-r5.apk | 4.0 KiB | 2024-10-25 20:45:40 |
kubepug-fish-completion-1.7.1-r5.apk | 4.3 KiB | 2024-10-25 20:45:40 |
kubepug-bash-completion-1.7.1-r5.apk | 5.1 KiB | 2024-10-25 20:45:40 |
kubepug-1.7.1-r5.apk | 15.2 MiB | 2024-10-25 20:45:40 |
kubeone-zsh-completion-1.9.1-r0.apk | 4.0 KiB | 2025-01-03 15:52:09 |
kubeone-doc-1.9.1-r0.apk | 20.1 KiB | 2025-01-03 15:52:09 |
kubeone-bash-completion-1.9.1-r0.apk | 6.6 KiB | 2025-01-03 15:52:09 |
kubeone-1.9.1-r0.apk | 25.0 MiB | 2025-01-03 15:52:09 |
kubeconform-0.6.6-r2.apk | 3.0 MiB | 2024-10-25 20:45:37 |
kube-no-trouble-0.7.3-r0.apk | 12.4 MiB | 2024-10-25 20:45:37 |
ktx-libs-4.3.2-r0.apk | 1.4 MiB | 2024-10-25 20:45:36 |
ktx-dev-4.3.2-r0.apk | 29.2 KiB | 2024-10-25 20:45:35 |
ktx-4.3.2-r0.apk | 1.2 MiB | 2024-10-25 20:45:35 |
krita-plugin-gmic-3.2.4.1-r3.apk | 2.7 MiB | 2024-10-25 20:45:35 |
kopia-zsh-completion-0.18.2-r0.apk | 1.7 KiB | 2025-01-03 15:52:08 |
kopia-bash-completion-0.18.2-r0.apk | 1.7 KiB | 2025-01-03 15:52:08 |
kopia-0.18.2-r0.apk | 15.5 MiB | 2025-01-03 15:52:08 |
kondo-zsh-completion-0.8-r0.apk | 2.4 KiB | 2024-10-25 20:45:34 |
kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-10-25 20:45:34 |
kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-10-25 20:45:34 |
kondo-0.8-r0.apk | 704.4 KiB | 2024-10-25 20:45:34 |
kompose-zsh-completion-1.31.2-r5.apk | 6.8 KiB | 2024-10-25 20:45:34 |
kompose-fish-completion-1.31.2-r5.apk | 4.4 KiB | 2024-10-25 20:45:34 |
kompose-bash-completion-1.31.2-r5.apk | 5.6 KiB | 2024-10-25 20:45:34 |
kompose-1.31.2-r5.apk | 6.5 MiB | 2024-10-25 20:45:34 |
komikku-pyc-1.66.0-r0.apk | 731.2 KiB | 2024-12-21 18:03:34 |
komikku-lang-1.66.0-r0.apk | 231.0 KiB | 2024-12-21 18:03:34 |
komikku-1.66.0-r0.apk | 1020.3 KiB | 2024-12-21 18:03:34 |
kodaskanna-lang-0.2.0-r0.apk | 20.6 KiB | 2024-12-07 10:15:35 |
kodaskanna-0.2.0-r0.apk | 52.1 KiB | 2024-12-07 10:15:35 |
ko-zsh-completion-0.17.1-r0.apk | 4.0 KiB | 2024-12-14 16:41:28 |
ko-fish-completion-0.17.1-r0.apk | 4.2 KiB | 2024-12-14 16:41:28 |
ko-bash-completion-0.17.1-r0.apk | 5.0 KiB | 2024-12-14 16:41:28 |
ko-0.17.1-r0.apk | 9.6 MiB | 2024-12-14 16:41:28 |
knxd-dev-0.14.61-r1.apk | 34.8 KiB | 2024-12-14 21:14:20 |
knxd-0.14.61-r1.apk | 411.7 KiB | 2024-12-14 21:14:20 |
knative-client-zsh-completion-1.16.1-r0.apk | 4.0 KiB | 2024-12-14 21:14:20 |
knative-client-fish-completion-1.16.1-r0.apk | 4.2 KiB | 2024-12-14 21:14:20 |
knative-client-bash-completion-1.16.1-r0.apk | 10.1 KiB | 2024-12-14 21:14:20 |
knative-client-1.16.1-r0.apk | 20.4 MiB | 2024-12-14 21:14:20 |
kmscon-doc-9.0.0-r0.apk | 7.7 KiB | 2024-10-25 20:45:29 |
kmscon-9.0.0-r0.apk | 794.2 KiB | 2024-10-25 20:45:29 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-10-25 20:45:29 |
kismet-nxp-kw41z-0.202307.1-r4.apk | 44.6 KiB | 2024-11-11 19:45:49 |
kismet-nrf-51822-0.202307.1-r4.apk | 43.0 KiB | 2024-11-11 19:45:49 |
kismet-logtools-0.202307.1-r4.apk | 1.1 MiB | 2024-11-11 19:45:49 |
kismet-linux-wifi-0.202307.1-r4.apk | 65.5 KiB | 2024-11-11 19:45:49 |
kismet-linux-bluetooth-0.202307.1-r4.apk | 46.6 KiB | 2024-11-11 19:45:49 |
kismet-0.202307.1-r4.apk | 12.3 MiB | 2024-11-11 19:45:49 |
kirc-doc-0.3.2-r0.apk | 2.6 KiB | 2024-10-25 20:45:27 |
kirc-0.3.2-r0.apk | 10.8 KiB | 2024-10-25 20:45:27 |
kine-doc-0.10.1-r8.apk | 5.1 KiB | 2024-10-25 20:45:27 |
kine-0.10.1-r8.apk | 7.3 MiB | 2024-10-25 20:45:27 |
kimchi-pyc-3.0.0-r7.apk | 479.3 KiB | 2024-10-25 20:45:24 |
kimchi-lang-3.0.0-r7.apk | 172.2 KiB | 2024-10-25 20:45:24 |
kimchi-3.0.0-r7.apk | 535.9 KiB | 2024-10-25 20:45:23 |
khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-10-25 20:45:23 |
khronos-4.0.1-r0.apk | 55.4 KiB | 2024-10-25 20:45:23 |
khinsider-2.0.7-r15.apk | 3.1 MiB | 2024-10-25 20:45:23 |
kgraphviewer-lang-2.5.0-r0.apk | 230.4 KiB | 2024-10-25 20:45:21 |
kgraphviewer-dev-2.5.0-r0.apk | 6.3 KiB | 2024-10-25 20:45:21 |
kgraphviewer-2.5.0-r0.apk | 1.3 MiB | 2024-10-25 20:45:21 |
kfc-0.1.4-r0.apk | 57.2 KiB | 2024-10-25 20:45:20 |
keydb-openrc-6.3.4-r0.apk | 2.6 KiB | 2024-10-25 20:45:20 |
keydb-cli-6.3.4-r0.apk | 391.1 KiB | 2024-10-25 20:45:20 |
keydb-benchmark-6.3.4-r0.apk | 443.7 KiB | 2024-10-25 20:45:20 |
keydb-6.3.4-r0.apk | 1.1 MiB | 2024-10-25 20:45:20 |
keybase-client-6.2.8-r5.apk | 17.3 MiB | 2024-10-25 20:45:19 |
kerberoast-pyc-0.2.0-r1.apk | 15.1 KiB | 2024-10-25 20:45:18 |
kerberoast-0.2.0-r1.apk | 9.6 KiB | 2024-10-25 20:45:18 |
keepassxc-browser-1.8.9-r0.apk | 876.4 KiB | 2024-10-25 20:45:18 |
kdiskmark-lang-3.1.4-r1.apk | 26.7 KiB | 2024-10-25 20:45:18 |
kdiskmark-3.1.4-r1.apk | 159.6 KiB | 2024-10-25 20:45:18 |
katarakt-0.2-r0.apk | 86.1 KiB | 2024-10-25 20:45:18 |
katana-1.1.0-r4.apk | 12.6 MiB | 2024-10-25 20:45:18 |
kapow-0.7.1-r8.apk | 3.2 MiB | 2024-10-25 20:45:16 |
kannel-doc-1.5.0-r11.apk | 6.2 KiB | 2024-10-25 20:45:16 |
kannel-dev-1.5.0-r11.apk | 1.4 MiB | 2024-10-25 20:45:16 |
kannel-1.5.0-r11.apk | 6.2 MiB | 2024-10-25 20:45:16 |
kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-10-25 20:45:15 |
kabmat-2.7.0-r0.apk | 62.5 KiB | 2024-10-25 20:45:15 |
k3sup-zsh-completion-0.13.6-r0.apk | 4.0 KiB | 2024-10-25 20:45:15 |
k3sup-fish-completion-0.13.6-r0.apk | 4.3 KiB | 2024-10-25 20:45:15 |
k3sup-bash-completion-0.13.6-r0.apk | 5.0 KiB | 2024-10-25 20:45:15 |
k3sup-0.13.6-r0.apk | 2.4 MiB | 2024-10-25 20:45:15 |
k2-0_git20220807-r1.apk | 100.6 KiB | 2024-10-25 20:45:15 |
jwt-cli-6.2.0-r0.apk | 850.7 KiB | 2024-12-14 18:21:20 |
junit2html-pyc-31.0.2-r0.apk | 23.5 KiB | 2024-10-25 20:45:15 |
junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-10-25 20:45:15 |
jsonnet-language-server-0.14.1-r0.apk | 4.0 MiB | 2024-10-25 20:45:15 |
jsonnet-bundler-0.6.0-r0.apk | 2.9 MiB | 2024-10-25 20:45:14 |
json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-10-25 20:45:14 |
json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-10-25 20:45:14 |
json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-10-25 20:45:14 |
json2tsv-1.2-r0.apk | 6.7 KiB | 2024-10-25 20:45:14 |
jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-10-25 20:45:14 |
jotdown-0.4.0-r0.apk | 225.5 KiB | 2024-10-25 20:45:14 |
jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-10-25 20:45:14 |
jhead-3.08-r0.apk | 33.9 KiB | 2024-10-25 20:45:14 |
jfrog-cli-2.45.0-r7.apk | 8.7 MiB | 2024-10-25 20:45:14 |
jedi-language-server-pyc-0.43.1-r0.apk | 37.3 KiB | 2025-01-04 01:41:37 |
jedi-language-server-0.43.1-r0.apk | 26.0 KiB | 2025-01-04 01:41:37 |
jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-10-25 20:45:13 |
jdupes-1.28.0-r0.apk | 27.8 KiB | 2024-10-25 20:45:13 |
jdebp-redo-doc-1.4-r1.apk | 8.6 KiB | 2024-10-25 20:45:13 |
jdebp-redo-1.4-r1.apk | 100.4 KiB | 2024-10-25 20:45:13 |
jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-10-25 20:45:13 |
jbigkit-dev-2.1-r2.apk | 50.9 KiB | 2024-10-25 20:45:13 |
jbigkit-2.1-r2.apk | 68.9 KiB | 2024-10-25 20:45:13 |
java-jtharness-examples-6.0_p12-r0.apk | 218.8 KiB | 2024-10-25 20:45:13 |
java-jtharness-doc-6.0_p12-r0.apk | 11.5 KiB | 2024-10-25 20:45:13 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-10-25 20:45:13 |
java-asmtools-doc-8.0.09-r0.apk | 6.9 KiB | 2024-10-25 20:45:13 |
java-asmtools-8.0.09-r0.apk | 574.3 KiB | 2024-10-25 20:45:13 |
jaq-doc-2.0.1-r0.apk | 2.2 KiB | 2024-12-14 21:14:19 |
jaq-2.0.1-r0.apk | 624.4 KiB | 2024-12-14 21:14:19 |
jalv-gtk-1.6.8-r1.apk | 33.2 KiB | 2024-10-25 20:45:13 |
jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-10-25 20:45:13 |
jalv-1.6.8-r1.apk | 50.3 KiB | 2024-10-25 20:45:13 |
jackdaw-pyc-0.3.1-r1.apk | 369.3 KiB | 2024-10-25 20:45:13 |
jackdaw-0.3.1-r1.apk | 2.0 MiB | 2024-10-25 20:45:13 |
jackal-openrc-0.64.0-r10.apk | 1.8 KiB | 2024-10-25 20:45:13 |
jackal-0.64.0-r10.apk | 10.8 MiB | 2024-10-25 20:45:13 |
jack_capture-0.9.73_git20210429-r2.apk | 30.3 KiB | 2024-10-25 20:45:12 |
it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-10-25 20:45:12 |
isomd5sum-doc-1.2.3-r3.apk | 3.0 KiB | 2024-10-25 20:45:12 |
isomd5sum-1.2.3-r3.apk | 30.1 KiB | 2024-10-25 20:45:12 |
isoinfo-0_git20131217-r1.apk | 6.4 KiB | 2024-10-25 20:45:12 |
irctk-transport-fossil-1.1.0-r0.apk | 15.0 KiB | 2024-10-25 20:45:12 |
irctk-doc-1.1.0-r0.apk | 16.3 KiB | 2024-10-25 20:45:12 |
irctk-1.1.0-r0.apk | 29.3 KiB | 2024-10-25 20:45:12 |
ircdog-0.5.4-r0.apk | 2.1 MiB | 2024-10-25 20:45:12 |
ircd-hybrid-doc-8.2.45-r1.apk | 3.6 KiB | 2024-10-25 20:45:12 |
ircd-hybrid-8.2.45-r1.apk | 333.2 KiB | 2024-10-25 20:45:12 |
irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-10-25 20:45:12 |
irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-10-25 20:45:12 |
irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-10-25 20:45:12 |
irccd-4.0.3-r0.apk | 274.3 KiB | 2024-10-25 20:45:12 |
iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-10-25 20:45:12 |
iprange-1.0.4-r1.apk | 19.8 KiB | 2024-10-25 20:45:12 |
ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-10-25 20:45:12 |
ip2location-dev-8.6.1-r0.apk | 18.2 KiB | 2024-10-25 20:45:12 |
ip2location-8.6.1-r0.apk | 26.1 KiB | 2024-10-25 20:45:12 |
iotas-pyc-0.9.5-r0.apk | 300.4 KiB | 2024-12-07 20:33:56 |
iotas-lang-0.9.5-r0.apk | 70.8 KiB | 2024-12-07 20:33:56 |
iotas-0.9.5-r0.apk | 184.1 KiB | 2024-12-07 20:33:56 |
invidtui-0.4.6-r0.apk | 3.7 MiB | 2024-10-25 20:45:12 |
interception-tools-openrc-0.6.8-r2.apk | 1.7 KiB | 2024-10-25 20:45:12 |
interception-tools-0.6.8-r2.apk | 111.7 KiB | 2024-10-25 20:45:12 |
initify-0_git20171210-r1.apk | 3.2 KiB | 2024-10-25 20:45:12 |
imrsh-dbg-0_git20210320-r1.apk | 20.2 KiB | 2024-10-25 20:45:12 |
imrsh-0_git20210320-r1.apk | 8.7 KiB | 2024-10-25 20:45:12 |
imgdiff-doc-1.0.2-r21.apk | 2.3 KiB | 2024-10-25 20:45:12 |
imgdiff-1.0.2-r21.apk | 961.0 KiB | 2024-10-25 20:45:12 |
imediff-pyc-2.6-r1.apk | 43.6 KiB | 2024-10-25 20:45:12 |
imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-10-25 20:45:12 |
imediff-2.6-r1.apk | 41.6 KiB | 2024-10-25 20:45:12 |
imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-10-25 20:45:12 |
imapfilter-2.8.2-r0.apk | 41.0 KiB | 2024-10-25 20:45:12 |
ijq-doc-1.1.0-r3.apk | 3.5 KiB | 2024-10-25 20:45:12 |
ijq-1.1.0-r3.apk | 1.4 MiB | 2024-10-25 20:45:12 |
ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-10-30 22:44:54 |
ifuse-1.1.4-r5.apk | 9.9 KiB | 2024-10-30 22:44:54 |
idevicerestore-doc-1.0.0-r4.apk | 2.5 KiB | 2024-10-30 22:44:54 |
idevicerestore-1.0.0-r4.apk | 82.0 KiB | 2024-10-30 22:44:54 |
ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-10-30 22:44:54 |
ideviceinstaller-1.1.1-r4.apk | 13.6 KiB | 2024-10-30 22:44:54 |
idesk-1-r1.apk | 72.6 KiB | 2024-10-25 20:45:12 |
icmake-doc-9.03.01-r0.apk | 127.4 KiB | 2024-10-25 20:45:12 |
icmake-9.03.01-r0.apk | 120.4 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.8 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-pnp-1.1.0-r1.apk | 9.1 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.8 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-generictts-2.1.0-r0.apk | 6.6 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.8 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.8 KiB | 2024-10-25 20:45:12 |
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk | 2.2 MiB | 2024-10-25 20:45:12 |
icingaweb2-module-businessprocess-2.4.0-r0.apk | 116.4 KiB | 2024-10-25 20:45:11 |
icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-10-25 20:45:11 |
icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-10-25 20:45:10 |
icesprog-0_git20240108-r1.apk | 9.2 KiB | 2024-10-25 20:45:10 |
i3status-rust-doc-0.33.2-r0.apk | 33.5 KiB | 2024-11-11 03:24:30 |
i3status-rust-0.33.2-r0.apk | 5.0 MiB | 2024-11-11 03:24:30 |
i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-10-25 20:45:10 |
i2util-dev-4.2.1-r1.apk | 65.7 KiB | 2024-10-25 20:45:10 |
i2util-4.2.1-r1.apk | 22.5 KiB | 2024-10-25 20:45:10 |
hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-10-25 20:45:10 |
hyx-2024.02.29-r0.apk | 17.5 KiB | 2024-10-25 20:45:10 |
hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-10-25 20:45:10 |
hypnotix-3.5-r0.apk | 110.0 KiB | 2024-10-25 20:45:10 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2.5 KiB | 2024-10-25 20:45:10 |
hyfetch-pyc-1.99.0-r1.apk | 180.0 KiB | 2024-10-25 20:45:10 |
hyfetch-doc-1.99.0-r1.apk | 16.5 KiB | 2024-10-25 20:45:10 |
hyfetch-bash-completion-1.99.0-r1.apk | 3.3 KiB | 2024-10-25 20:45:10 |
hyfetch-1.99.0-r1.apk | 432.9 KiB | 2024-10-25 20:45:10 |
hy-pyc-1.0.0-r0.apk | 169.1 KiB | 2024-10-25 20:45:10 |
hy-1.0.0-r0.apk | 85.3 KiB | 2024-10-25 20:45:10 |
hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-10-25 20:45:10 |
hx-1.0.15-r0.apk | 15.0 KiB | 2024-10-25 20:45:10 |
hw-probe-1.6.5-r2.apk | 117.2 KiB | 2024-10-25 20:45:10 |
hurlfmt-6.0.0-r0.apk | 889.6 KiB | 2024-12-07 20:32:31 |
hurl-zsh-completion-6.0.0-r0.apk | 3.9 KiB | 2024-12-07 20:32:31 |
hurl-fish-completion-6.0.0-r0.apk | 3.4 KiB | 2024-12-07 20:32:31 |
hurl-doc-6.0.0-r0.apk | 8.6 KiB | 2024-12-07 20:32:31 |
hurl-bash-completion-6.0.0-r0.apk | 2.2 KiB | 2024-12-07 20:32:31 |
hurl-6.0.0-r0.apk | 1.8 MiB | 2024-12-07 20:32:31 |
hunspell-es-ar-doc-2.7-r0.apk | 2.8 KiB | 2024-10-25 20:45:10 |
hunspell-es-ar-2.7-r0.apk | 226.5 KiB | 2024-10-25 20:45:10 |
hunspell-ca-es-3.0.7-r0.apk | 734.3 KiB | 2024-10-25 20:45:10 |
hubble-cli-zsh-completion-0.13.6-r0.apk | 4.0 KiB | 2024-10-25 20:45:10 |
hubble-cli-fish-completion-0.13.6-r0.apk | 4.3 KiB | 2024-10-25 20:45:10 |
hubble-cli-bash-completion-0.13.6-r0.apk | 5.1 KiB | 2024-10-25 20:45:10 |
hubble-cli-0.13.6-r0.apk | 16.5 MiB | 2024-10-25 20:45:10 |
hub-zsh-completion-2.14.2-r26.apk | 3.7 KiB | 2024-10-25 20:45:09 |
hub-fish-completion-2.14.2-r26.apk | 3.3 KiB | 2024-10-25 20:45:09 |
hub-doc-2.14.2-r26.apk | 43.4 KiB | 2024-10-25 20:45:09 |
hub-bash-completion-2.14.2-r26.apk | 4.6 KiB | 2024-10-25 20:45:09 |
hub-2.14.2-r26.apk | 2.6 MiB | 2024-10-25 20:45:09 |
httrack-doc-3.49.2-r5.apk | 527.9 KiB | 2024-10-25 20:45:09 |
httrack-3.49.2-r5.apk | 751.7 KiB | 2024-10-25 20:45:09 |
httpx-doc-1.6.9-r1.apk | 2.2 KiB | 2024-12-01 17:53:23 |
httpx-1.6.9-r1.apk | 12.6 MiB | 2024-12-01 17:53:23 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-10-25 20:45:09 |
httpie-oauth-1.0.2-r9.apk | 3.3 KiB | 2024-10-25 20:45:09 |
htslib-tools-1.19-r0.apk | 1.3 MiB | 2024-10-25 20:45:09 |
htslib-static-1.19-r0.apk | 827.8 KiB | 2024-10-25 20:45:09 |
htslib-doc-1.19-r0.apk | 22.6 KiB | 2024-10-25 20:45:09 |
htslib-dev-1.19-r0.apk | 115.3 KiB | 2024-10-25 20:45:09 |
htslib-1.19-r0.apk | 390.2 KiB | 2024-10-25 20:45:08 |
htmldoc-doc-1.9.20-r0.apk | 98.0 KiB | 2024-12-10 18:06:17 |
htmldoc-1.9.20-r0.apk | 2.3 MiB | 2024-12-10 18:06:17 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-10-25 20:45:08 |
htmlcxx-0.87-r1.apk | 66.5 KiB | 2024-10-25 20:45:08 |
hstdb-2.1.0-r2.apk | 947.4 KiB | 2024-10-25 20:45:08 |
hsetroot-1.0.5-r1.apk | 10.2 KiB | 2024-10-25 20:45:08 |
hpnssh-doc-18.4.1-r0.apk | 98.2 KiB | 2024-10-25 20:45:08 |
hpnssh-18.4.1-r0.apk | 2.2 MiB | 2024-10-25 20:45:08 |
hping3-doc-20051105-r4.apk | 16.9 KiB | 2024-10-25 20:45:08 |
hping3-20051105-r4.apk | 78.8 KiB | 2024-10-25 20:45:08 |
howard-bc-doc-7.0.3-r0.apk | 38.7 KiB | 2024-10-25 20:45:08 |
howard-bc-7.0.3-r0.apk | 70.0 KiB | 2024-10-25 20:45:08 |
horizon-tools-0.9.6-r9.apk | 80.0 KiB | 2024-10-25 20:45:08 |
horizon-image-0.9.6-r9.apk | 66.6 KiB | 2024-10-25 20:45:08 |
horizon-doc-0.9.6-r9.apk | 21.4 KiB | 2024-10-25 20:45:08 |
horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-10-25 20:45:08 |
horizon-dbg-0.9.6-r9.apk | 4.1 MiB | 2024-10-25 20:45:08 |
horizon-0.9.6-r9.apk | 202.8 KiB | 2024-10-25 20:45:08 |
hopalong-0.1-r3.apk | 22.4 KiB | 2024-10-25 20:45:08 |
homebank-lang-5.8.6-r0.apk | 919.9 KiB | 2025-01-05 23:13:54 |
homebank-5.8.6-r0.apk | 1.9 MiB | 2025-01-05 23:13:54 |
hiprompt-gtk-py-0.8.0-r0.apk | 8.3 KiB | 2024-10-25 20:45:08 |
hilbish-doc-2.3.4-r0.apk | 24.9 KiB | 2025-01-03 15:52:07 |
hilbish-2.3.4-r0.apk | 3.3 MiB | 2025-01-03 15:52:07 |
hikari-unlocker-2.3.3-r6.apk | 3.9 KiB | 2024-10-25 20:45:08 |
hikari-doc-2.3.3-r6.apk | 13.6 KiB | 2024-10-25 20:45:08 |
hikari-2.3.3-r6.apk | 941.9 KiB | 2024-10-25 20:45:08 |
highctidh-dev-1.0.2024092800-r0.apk | 364.7 KiB | 2024-11-25 19:57:34 |
highctidh-1.0.2024092800-r0.apk | 330.7 KiB | 2024-11-25 19:57:34 |
hidrd-dev-0.2.0_git20190603-r1.apk | 170.0 KiB | 2024-10-25 20:45:07 |
hidrd-0.2.0_git20190603-r1.apk | 74.6 KiB | 2024-10-25 20:45:07 |
hiawatha-openrc-11.6-r0.apk | 1.7 KiB | 2024-10-25 20:45:07 |
hiawatha-letsencrypt-11.6-r0.apk | 17.6 KiB | 2024-10-25 20:45:07 |
hiawatha-doc-11.6-r0.apk | 21.1 KiB | 2024-10-25 20:45:07 |
hiawatha-11.6-r0.apk | 197.6 KiB | 2024-10-25 20:45:07 |
hfst-libs-3.16.0-r2.apk | 1.7 MiB | 2024-10-25 20:45:07 |
hfst-doc-3.16.0-r2.apk | 71.0 KiB | 2024-10-25 20:45:07 |
hfst-dev-3.16.0-r2.apk | 212.5 KiB | 2024-10-25 20:45:07 |
hfst-3.16.0-r2.apk | 1.3 MiB | 2024-10-25 20:45:07 |
hexer-dev-1.4.0-r16.apk | 7.3 KiB | 2024-11-08 00:02:45 |
hexer-1.4.0-r16.apk | 58.5 KiB | 2024-11-08 00:02:45 |
hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-10-25 20:45:07 |
hexedit-1.6_git20230905-r0.apk | 17.0 KiB | 2024-10-25 20:45:07 |
hexdiff-doc-0.0.53-r2.apk | 3.7 KiB | 2024-10-25 20:45:07 |
hexdiff-0.0.53-r2.apk | 14.8 KiB | 2024-10-25 20:45:07 |
herbe-1.0.0-r0.apk | 5.5 KiB | 2024-10-25 20:45:07 |
helmfile-zsh-completion-0.169.2-r0.apk | 4.0 KiB | 2024-12-14 17:26:32 |
helmfile-fish-completion-0.169.2-r0.apk | 4.3 KiB | 2024-12-14 17:26:32 |
helmfile-doc-0.169.2-r0.apk | 2.2 KiB | 2024-12-14 17:26:32 |
helmfile-bash-completion-0.169.2-r0.apk | 5.1 KiB | 2024-12-14 17:26:32 |
helmfile-0.169.2-r0.apk | 42.8 MiB | 2024-12-14 17:26:32 |
helm-mapkubeapis-0.5.2-r0.apk | 18.5 MiB | 2025-01-10 22:50:28 |
helm-ls-doc-0.0.12-r4.apk | 2.2 KiB | 2024-10-25 20:45:04 |
helm-ls-0.0.12-r4.apk | 10.1 MiB | 2024-10-25 20:45:04 |
heisenbridge-pyc-1.14.6-r0.apk | 151.8 KiB | 2024-10-25 20:45:04 |
heisenbridge-1.14.6-r0.apk | 66.6 KiB | 2024-10-25 20:45:04 |
hdf4-tools-4.2.15-r2.apk | 193.9 KiB | 2024-10-25 20:45:04 |
hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-10-25 20:45:04 |
hdf4-dev-4.2.15-r2.apk | 100.8 KiB | 2024-10-25 20:45:04 |
hdf4-4.2.15-r2.apk | 258.3 KiB | 2024-10-25 20:45:04 |
hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-10-25 20:45:04 |
hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-10-25 20:45:04 |
hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-10-25 20:45:04 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-10-25 20:45:04 |
hatop-0.8.2-r0.apk | 17.9 KiB | 2024-10-25 20:45:04 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-10-25 20:45:04 |
hashcat-6.2.6-r0.apk | 63.9 MiB | 2024-10-25 20:45:03 |
harminv-libs-1.4.2-r1.apk | 28.9 KiB | 2024-10-25 20:44:59 |
harminv-doc-1.4.2-r1.apk | 5.6 KiB | 2024-10-25 20:44:59 |
harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-10-25 20:44:59 |
harminv-1.4.2-r1.apk | 7.6 KiB | 2024-10-25 20:44:59 |
handlebars-utils-1.0.0-r1.apk | 9.6 KiB | 2024-10-25 20:44:59 |
handlebars-dev-1.0.0-r1.apk | 32.4 KiB | 2024-10-25 20:44:59 |
handlebars-1.0.0-r1.apk | 103.8 KiB | 2024-10-25 20:44:59 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 357.9 KiB | 2024-10-25 20:44:59 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-10-25 20:44:59 |
hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-10-25 20:44:59 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-10-25 20:44:59 |
hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-10-25 20:44:59 |
halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-10-25 20:44:59 |
halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-10-25 20:44:59 |
halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-10-25 20:44:59 |
halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-10-25 20:44:59 |
halp-0.2.0-r0.apk | 940.7 KiB | 2024-10-25 20:44:59 |
h4h5tools-static-2.2.5-r4.apk | 183.7 KiB | 2024-10-25 20:44:59 |
h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-10-25 20:44:59 |
h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-10-25 20:44:59 |
h4h5tools-2.2.5-r4.apk | 106.9 KiB | 2024-10-25 20:44:59 |
gx-go-doc-1.9.0-r27.apk | 2.3 KiB | 2024-10-25 20:44:59 |
gx-go-1.9.0-r27.apk | 4.5 MiB | 2024-10-25 20:44:59 |
gx-doc-0.14.3-r25.apk | 2.3 KiB | 2024-10-25 20:44:58 |
gx-0.14.3-r25.apk | 4.3 MiB | 2024-10-25 20:44:58 |
gutenprint-static-5.3.4-r5.apk | 1.4 MiB | 2024-10-25 20:44:58 |
gutenprint-samples-5.3.4-r5.apk | 638.1 KiB | 2024-10-25 20:44:58 |
gutenprint-libs-5.3.4-r5.apk | 621.3 KiB | 2024-10-25 20:44:58 |
gutenprint-lang-5.3.4-r5.apk | 1.9 MiB | 2024-10-25 20:44:58 |
gutenprint-doc-5.3.4-r5.apk | 8.4 KiB | 2024-10-25 20:44:57 |
gutenprint-dev-5.3.4-r5.apk | 35.7 KiB | 2024-10-25 20:44:57 |
gutenprint-cups-5.3.4-r5.apk | 49.1 MiB | 2024-10-25 20:44:57 |
gutenprint-5.3.4-r5.apk | 811.8 KiB | 2024-10-25 20:44:51 |
gupnp-doc-1.6.7-r0.apk | 3.7 KiB | 2024-12-01 13:01:55 |
gupnp-dlna-dev-0.12.0-r0.apk | 24.3 KiB | 2024-12-01 13:01:55 |
gupnp-dlna-0.12.0-r0.apk | 66.0 KiB | 2024-12-01 13:01:55 |
gupnp-dev-1.6.7-r0.apk | 50.2 KiB | 2024-12-01 13:01:55 |
gupnp-av-dev-0.14.1-r0.apk | 40.9 KiB | 2024-12-01 13:01:55 |
gupnp-av-0.14.1-r0.apk | 78.0 KiB | 2024-12-01 13:01:55 |
gupnp-1.6.7-r0.apk | 87.9 KiB | 2024-12-01 13:01:55 |
gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-11-20 00:36:50 |
gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-11-20 00:36:50 |
gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-11-20 00:36:50 |
gufw-24.04-r3.apk | 596.5 KiB | 2024-11-20 00:36:50 |
guetzli-dev-0_git20191025-r1.apk | 5.1 MiB | 2024-10-25 20:44:50 |
guetzli-0_git20191025-r1.apk | 151.6 KiB | 2024-10-25 20:44:50 |
guake-pyc-3.10-r1.apk | 185.6 KiB | 2024-10-25 20:44:50 |
guake-lang-3.10-r1.apk | 187.8 KiB | 2024-10-25 20:44:50 |
guake-3.10-r1.apk | 305.3 KiB | 2024-10-25 20:44:50 |
gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-10-25 20:44:50 |
gtkwave-3.3.120-r0.apk | 2.6 MiB | 2024-10-25 20:44:50 |
gtklock-doc-2.1.0-r0.apk | 2.9 KiB | 2024-10-25 20:44:49 |
gtklock-2.1.0-r0.apk | 16.8 KiB | 2024-10-25 20:44:49 |
gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-10-25 20:44:49 |
gtkhash-1.5-r0.apk | 86.2 KiB | 2024-10-25 20:44:49 |
gtk4-layer-shell-doc-1.0.4-r1.apk | 2.2 KiB | 2024-11-08 06:58:06 |
gtk4-layer-shell-dev-1.0.4-r1.apk | 9.0 KiB | 2024-11-08 06:58:06 |
gtk4-layer-shell-demo-1.0.4-r1.apk | 10.4 KiB | 2024-11-08 06:58:06 |
gtk4-layer-shell-1.0.4-r1.apk | 15.8 KiB | 2024-11-08 06:58:06 |
gstreamermm-dev-1.10.0-r4.apk | 320.2 KiB | 2024-10-25 20:44:49 |
gstreamermm-1.10.0-r4.apk | 479.7 KiB | 2024-10-25 20:44:49 |
gst-rtsp-server-dev-1.24.10-r0.apk | 93.4 KiB | 2024-12-05 13:03:21 |
gst-rtsp-server-1.24.10-r0.apk | 227.2 KiB | 2024-12-05 13:03:21 |
gssdp-dev-1.6.3-r0.apk | 15.5 KiB | 2024-12-01 13:01:55 |
gssdp-1.6.3-r0.apk | 45.5 KiB | 2024-12-01 13:01:55 |
gsimplecal-doc-2.5.1-r0.apk | 5.8 KiB | 2024-10-25 20:44:49 |
gsimplecal-2.5.1-r0.apk | 16.1 KiB | 2024-10-25 20:44:49 |
gsettings-qt-dev-0.2_git20220807-r1.apk | 3.6 KiB | 2024-10-25 20:44:49 |
gsettings-qt-0.2_git20220807-r1.apk | 45.2 KiB | 2024-10-25 20:44:49 |
grpcurl-1.9.2-r0.apk | 7.6 MiB | 2024-12-14 21:14:19 |
grpcui-1.4.2-r0.apk | 8.0 MiB | 2024-12-01 16:07:04 |
gron-0.7.1-r19.apk | 2.3 MiB | 2024-10-25 20:44:48 |
grlx-sprout-openrc-1.0.5-r0.apk | 1.6 KiB | 2024-10-25 20:44:44 |
grlx-sprout-1.0.5-r0.apk | 6.5 MiB | 2024-10-25 20:44:44 |
grlx-farmer-openrc-1.0.5-r0.apk | 1.6 KiB | 2024-10-25 20:44:44 |
grlx-farmer-1.0.5-r0.apk | 13.2 MiB | 2024-10-25 20:44:44 |
grlx-1.0.5-r0.apk | 14.1 MiB | 2024-10-25 20:44:43 |
grip-lang-4.2.4-r0.apk | 144.2 KiB | 2024-10-25 20:44:42 |
grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-10-25 20:44:42 |
grip-4.2.4-r0.apk | 380.2 KiB | 2024-10-25 20:44:42 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-10-25 20:44:42 |
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk | 2.2 KiB | 2024-10-25 20:44:42 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.9 KiB | 2024-10-25 20:44:42 |
grass-0.13.4-r0.apk | 841.5 KiB | 2024-10-25 20:44:42 |
granite7-lang-7.5.0-r0.apk | 52.0 KiB | 2024-10-25 20:44:42 |
granite7-dev-7.5.0-r0.apk | 41.6 KiB | 2024-10-25 20:44:42 |
granite7-7.5.0-r0.apk | 120.0 KiB | 2024-10-25 20:44:42 |
gpscorrelate-lang-2.2-r0.apk | 17.0 KiB | 2024-11-12 11:33:31 |
gpscorrelate-doc-2.2-r0.apk | 227.9 KiB | 2024-11-12 11:33:31 |
gpscorrelate-cli-2.2-r0.apk | 23.6 KiB | 2024-11-12 11:33:31 |
gpscorrelate-2.2-r0.apk | 46.6 KiB | 2024-11-12 11:33:31 |
gpg-remailer-doc-3.04.07-r1.apk | 9.5 KiB | 2024-10-25 20:44:42 |
gpg-remailer-3.04.07-r1.apk | 46.3 KiB | 2024-10-25 20:44:42 |
gpa-doc-0.10.0-r2.apk | 2.8 KiB | 2024-10-25 20:44:42 |
gpa-0.10.0-r2.apk | 214.2 KiB | 2024-10-25 20:44:42 |
goxel-0.15.1-r0.apk | 1.8 MiB | 2024-10-25 20:44:42 |
gotify-openrc-2.5.0-r1.apk | 1.9 KiB | 2025-01-10 10:13:22 |
gotify-cli-2.3.2-r0.apk | 3.9 MiB | 2024-10-25 20:44:42 |
gotify-2.5.0-r1.apk | 9.4 MiB | 2025-01-10 10:13:22 |
gotestsum-1.12.0-r2.apk | 2.3 MiB | 2024-10-25 20:44:41 |
gosu-1.17-r5.apk | 1.0 MiB | 2024-10-25 20:44:41 |
gossip-doc-0.13.0-r0.apk | 16.6 KiB | 2024-12-27 15:04:05 |
gossip-0.13.0-r0.apk | 28.0 MiB | 2024-12-27 15:04:05 |
goshs-doc-1.0.1-r0.apk | 2.2 KiB | 2024-12-24 11:08:41 |
goshs-1.0.1-r0.apk | 5.4 MiB | 2024-12-24 11:08:41 |
gortr-openrc-0.14.8-r8.apk | 2.0 KiB | 2024-10-25 20:44:41 |
gortr-0.14.8-r8.apk | 9.4 MiB | 2024-10-25 20:44:41 |
goreman-0.3.15-r8.apk | 2.0 MiB | 2024-10-25 20:44:41 |
goomwwm-1.0.0-r5.apk | 48.2 KiB | 2024-10-25 20:44:40 |
gomp-1.0.0-r7.apk | 3.2 MiB | 2024-10-25 20:44:40 |
gobuster-3.6.0-r7.apk | 3.2 MiB | 2024-10-25 20:44:40 |
go-passbolt-cli-0.3.1-r3.apk | 6.3 MiB | 2024-10-25 20:44:40 |
go-mtpfs-1.0.0-r22.apk | 1.1 MiB | 2024-10-25 20:44:39 |
go-jsonnet-0.20.0-r9.apk | 5.9 MiB | 2024-10-25 20:44:39 |
gnucash-lang-5.10-r0.apk | 8.2 MiB | 2024-12-27 11:50:39 |
gnucash-doc-5.10-r0.apk | 1.4 MiB | 2024-12-27 11:50:38 |
gnucash-dev-5.10-r0.apk | 302.3 KiB | 2024-12-27 11:50:38 |
gnucash-5.10-r0.apk | 8.3 MiB | 2024-12-27 11:50:38 |
gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-10-25 20:44:38 |
gnu-apl-dev-1.9-r0.apk | 902.0 KiB | 2024-10-25 20:44:37 |
gnu-apl-1.9-r0.apk | 1.3 MiB | 2024-10-25 20:44:37 |
gnome-user-share-lang-47.0-r0.apk | 66.3 KiB | 2024-10-25 20:44:37 |
gnome-user-share-47.0-r0.apk | 13.8 KiB | 2024-10-25 20:44:37 |
gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-10-25 20:44:37 |
gnome-metronome-1.3.0-r0.apk | 506.1 KiB | 2024-10-25 20:44:37 |
gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-10-25 20:44:37 |
gmsh-py-4.12.2-r2.apk | 6.7 KiB | 2024-10-25 20:44:37 |
gmsh-doc-4.12.2-r2.apk | 1.9 MiB | 2024-10-25 20:44:37 |
gmsh-dbg-4.12.2-r2.apk | 141.4 MiB | 2024-10-25 20:44:37 |
gmsh-4.12.2-r2.apk | 9.0 MiB | 2024-10-25 20:44:26 |
gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-11-27 19:26:08 |
gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-11-27 19:26:08 |
gmid-2.1.1-r0.apk | 228.9 KiB | 2024-11-27 19:26:08 |
gmic-qt-3.3.5-r1.apk | 1.6 MiB | 2024-11-21 23:59:57 |
gmic-libs-3.3.5-r1.apk | 4.5 MiB | 2024-11-21 23:59:57 |
gmic-doc-3.3.5-r1.apk | 218.8 KiB | 2024-11-21 23:59:57 |
gmic-dev-3.3.5-r1.apk | 7.7 KiB | 2024-11-21 23:59:57 |
gmic-bash-completion-3.3.5-r1.apk | 28.4 KiB | 2024-11-21 23:59:57 |
gmic-3.3.5-r1.apk | 11.3 MiB | 2024-11-21 23:59:57 |
gmenuharness-dev-0.1.4-r1.apk | 4.3 KiB | 2024-10-25 20:44:25 |
gmenuharness-0.1.4-r1.apk | 37.9 KiB | 2024-10-25 20:44:25 |
gmcapsule-pyc-0.9.7-r0.apk | 60.6 KiB | 2025-01-08 19:13:04 |
gmcapsule-openrc-0.9.7-r0.apk | 1.9 KiB | 2025-01-08 19:13:04 |
gmcapsule-0.9.7-r0.apk | 36.0 KiB | 2025-01-08 19:13:04 |
glslviewer-3.2.4-r0.apk | 1.8 MiB | 2024-10-25 20:44:25 |
glow-zsh-completion-2.0.0-r0.apk | 4.0 KiB | 2024-10-25 20:44:25 |
glow-fish-completion-2.0.0-r0.apk | 4.3 KiB | 2024-10-25 20:44:25 |
glow-doc-2.0.0-r0.apk | 3.2 KiB | 2024-10-25 20:44:25 |
glow-bash-completion-2.0.0-r0.apk | 5.0 KiB | 2024-10-25 20:44:25 |
glow-2.0.0-r0.apk | 5.4 MiB | 2024-10-25 20:44:25 |
gloox-dev-1.0.28-r0.apk | 1.5 MiB | 2024-10-25 20:44:24 |
gloox-1.0.28-r0.apk | 370.5 KiB | 2024-10-25 20:44:24 |
glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-10-25 20:44:24 |
glmark2-2023.01-r1.apk | 8.0 MiB | 2024-10-25 20:44:24 |
gliderlabs-sigil-doc-0.11.0-r0.apk | 2.4 KiB | 2024-10-25 20:44:24 |
gliderlabs-sigil-0.11.0-r0.apk | 2.9 MiB | 2024-10-25 20:44:24 |
glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-10-25 20:44:23 |
glfw-wayland-dbg-3.3.8-r3.apk | 199.1 KiB | 2024-10-25 20:44:23 |
glfw-wayland-3.3.8-r3.apk | 66.8 KiB | 2024-10-25 20:44:23 |
gkrellm-server-2.3.11-r0.apk | 52.3 KiB | 2025-01-08 22:36:53 |
gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-01-08 22:36:53 |
gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-01-08 22:36:53 |
gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-01-08 22:36:53 |
gkrellm-2.3.11-r0.apk | 401.6 KiB | 2025-01-08 22:36:53 |
git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-10-25 20:44:23 |
git2json-0.2.3-r8.apk | 7.4 KiB | 2024-10-25 20:44:23 |
git-secret-doc-0.5.0-r0.apk | 17.0 KiB | 2024-10-25 20:44:23 |
git-secret-0.5.0-r0.apk | 14.7 KiB | 2024-10-25 20:44:23 |
git-revise-pyc-0.7.0-r5.apk | 42.1 KiB | 2024-10-25 20:44:23 |
git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-10-25 20:44:23 |
git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-10-25 20:44:23 |
git-quick-stats-doc-2.5.8-r0.apk | 2.9 KiB | 2024-10-25 20:44:23 |
git-quick-stats-2.5.8-r0.apk | 12.4 KiB | 2024-10-25 20:44:23 |
git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-11-25 23:39:08 |
git-graph-0.6.0-r0.apk | 871.6 KiB | 2024-11-25 23:39:08 |
git-extras-doc-7.3.0-r0.apk | 63.4 KiB | 2024-11-17 12:49:38 |
git-extras-bash-completion-7.3.0-r0.apk | 2.8 KiB | 2024-11-17 12:49:38 |
git-extras-7.3.0-r0.apk | 55.4 KiB | 2024-11-17 12:49:38 |
git-cola-pyc-4.10.1-r0.apk | 770.3 KiB | 2024-12-15 19:05:18 |
git-cola-doc-4.10.1-r0.apk | 5.8 KiB | 2024-12-15 19:05:18 |
git-cola-4.10.1-r0.apk | 860.3 KiB | 2024-12-15 19:05:18 |
gingerbase-pyc-2.3.0-r7.apk | 72.1 KiB | 2024-10-25 20:44:23 |
gingerbase-lang-2.3.0-r7.apk | 52.8 KiB | 2024-10-25 20:44:23 |
gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-10-25 20:44:23 |
ginger-pyc-2.4.0-r7.apk | 255.9 KiB | 2024-10-25 20:44:23 |
ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-10-25 20:44:23 |
ginger-2.4.0-r7.apk | 257.1 KiB | 2024-10-25 20:44:23 |
ginac-doc-1.8.7-r1.apk | 98.0 KiB | 2024-10-25 20:44:23 |
ginac-dev-1.8.7-r1.apk | 69.9 KiB | 2024-10-25 20:44:23 |
ginac-1.8.7-r1.apk | 1.1 MiB | 2024-10-25 20:44:23 |
gimp-plugin-gmic-3.3.5-r1.apk | 1.3 MiB | 2024-11-21 23:59:56 |
ghq-zsh-completion-1.7.1-r0.apk | 2.4 KiB | 2024-12-07 20:32:30 |
ghq-fish-completion-1.7.1-r0.apk | 2.4 KiB | 2024-12-07 20:32:30 |
ghq-doc-1.7.1-r0.apk | 5.4 KiB | 2024-12-07 20:32:30 |
ghq-bash-completion-1.7.1-r0.apk | 1.7 KiB | 2024-12-07 20:32:30 |
ghq-1.7.1-r0.apk | 3.4 MiB | 2024-12-07 20:32:30 |
ghostcloud-0.9.9.5-r2.apk | 447.8 KiB | 2024-10-25 20:44:23 |
ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-10-25 20:44:23 |
gfan-0.6.2-r1.apk | 1.6 MiB | 2024-10-25 20:44:23 |
gf2x-dev-1.3.0-r1.apk | 83.2 KiB | 2024-10-25 20:44:23 |
gf2x-1.3.0-r1.apk | 39.8 KiB | 2024-10-25 20:44:23 |
getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-12-08 21:36:56 |
getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-12-08 21:36:56 |
getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-12-08 21:36:56 |
getssl-2.48-r0.apk | 82.2 KiB | 2024-10-25 20:44:22 |
getmail6-pyc-6.19.05-r0.apk | 102.3 KiB | 2024-10-25 20:44:22 |
getmail6-doc-6.19.05-r0.apk | 138.0 KiB | 2024-10-25 20:44:22 |
getmail6-6.19.05-r0.apk | 71.2 KiB | 2024-10-25 20:44:22 |
geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-10-25 20:44:22 |
geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-10-25 20:44:22 |
geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-10-25 20:44:22 |
geonames-0.3.1-r2.apk | 827.1 KiB | 2024-10-25 20:44:22 |
geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-10-25 20:44:22 |
geomyidae-doc-0.34-r2.apk | 7.6 KiB | 2024-10-25 20:44:22 |
geomyidae-0.34-r2.apk | 15.2 KiB | 2024-10-25 20:44:22 |
geodns-openrc-3.3.0-r8.apk | 1.8 KiB | 2024-10-25 20:44:22 |
geodns-logs-3.3.0-r8.apk | 4.0 MiB | 2024-10-25 20:44:22 |
geodns-3.3.0-r8.apk | 4.4 MiB | 2024-10-25 20:44:22 |
geoclue-stumbler-0.2.0-r0.apk | 26.5 KiB | 2024-12-31 12:56:43 |
gede-2.18.2-r1.apk | 263.0 KiB | 2024-10-25 20:44:21 |
gearmand-openrc-1.1.21-r1.apk | 1.8 KiB | 2024-10-25 20:44:21 |
gearmand-doc-1.1.21-r1.apk | 189.7 KiB | 2024-10-25 20:44:21 |
gearmand-1.1.21-r1.apk | 179.1 KiB | 2024-10-25 20:44:21 |
gearman-libs-1.1.21-r1.apk | 83.3 KiB | 2024-10-25 20:44:21 |
gearman-dev-1.1.21-r1.apk | 1.9 MiB | 2024-10-25 20:44:21 |
gdb-dashboard-doc-0.17.3-r0.apk | 3.0 KiB | 2024-12-24 22:53:21 |
gdb-dashboard-0.17.3-r0.apk | 22.7 KiB | 2024-12-24 22:53:21 |
gcli-doc-2.5.0-r1.apk | 27.9 KiB | 2025-01-03 15:52:07 |
gcli-2.5.0-r1.apk | 122.1 KiB | 2025-01-03 15:52:07 |
gb-0.4.4-r26.apk | 6.4 MiB | 2024-10-25 20:44:21 |
gaupol-pyc-1.12-r2.apk | 418.4 KiB | 2024-10-25 20:44:20 |
gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-10-25 20:44:20 |
gaupol-doc-1.12-r2.apk | 2.3 KiB | 2024-10-25 20:44:20 |
gaupol-1.12-r2.apk | 276.1 KiB | 2024-10-25 20:44:20 |
gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-10-25 20:44:20 |
gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-10-25 20:44:20 |
gatling-0.16-r6.apk | 147.0 KiB | 2024-10-25 20:44:20 |
gammastep-pyc-2.0.9-r3.apk | 21.2 KiB | 2024-10-25 20:44:20 |
gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-10-25 20:44:20 |
gammastep-doc-2.0.9-r3.apk | 14.0 KiB | 2024-10-25 20:44:20 |
gammastep-2.0.9-r3.apk | 89.5 KiB | 2024-10-25 20:44:20 |
gamja-doc-1.0.0_beta9-r0.apk | 2.2 KiB | 2024-10-25 20:44:20 |
gamja-1.0.0_beta9-r0.apk | 599.2 KiB | 2024-10-25 20:44:20 |
gamescope-3.16.1-r0.apk | 1.2 MiB | 2025-01-10 13:25:20 |
gamemode-doc-0_git20240327-r0.apk | 7.6 KiB | 2024-10-25 20:44:20 |
gamemode-dev-0_git20240327-r0.apk | 5.2 KiB | 2024-10-25 20:44:20 |
gamemode-0_git20240327-r0.apk | 73.8 KiB | 2024-10-25 20:44:20 |
game-devices-udev-0.23-r0.apk | 6.2 KiB | 2024-11-12 10:54:29 |
fzy-doc-1.0-r3.apk | 2.7 KiB | 2024-10-25 20:44:20 |
fzy-1.0-r3.apk | 14.2 KiB | 2024-10-25 20:44:20 |
fyi-fish-completion-1.0.4-r0.apk | 2.2 KiB | 2024-10-25 20:44:20 |
fyi-doc-1.0.4-r0.apk | 7.0 KiB | 2024-10-25 20:44:20 |
fyi-bash-completion-1.0.4-r0.apk | 1.8 KiB | 2024-10-25 20:44:20 |
fyi-1.0.4-r0.apk | 9.5 KiB | 2024-10-25 20:44:20 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-10-25 20:44:20 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-10-25 20:44:20 |
fuzzylite-libs-6.0-r1.apk | 353.5 KiB | 2024-12-27 22:13:29 |
fuzzylite-doc-6.0-r1.apk | 2.1 KiB | 2024-12-27 22:13:29 |
fuzzylite-dev-6.0-r1.apk | 68.4 KiB | 2024-12-27 22:13:29 |
fuzzylite-6.0-r1.apk | 4.1 KiB | 2024-12-27 22:13:29 |
fusesoc-pyc-2.3-r0.apk | 89.0 KiB | 2024-10-25 20:44:20 |
fusesoc-2.3-r0.apk | 46.3 KiB | 2024-10-25 20:44:20 |
fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-10-25 20:44:20 |
fusee-nano-0.5.3-r1.apk | 20.9 KiB | 2024-10-25 20:44:20 |
fungw-tcl-1.2.1-r0.apk | 18.8 KiB | 2024-12-30 09:48:11 |
fungw-python3-1.2.1-r0.apk | 38.4 KiB | 2024-12-30 09:48:11 |
fungw-perl-1.2.1-r0.apk | 68.0 KiB | 2024-12-30 09:48:11 |
fungw-mujs-1.2.1-r0.apk | 24.5 KiB | 2024-12-30 09:48:11 |
fungw-lua-1.2.1-r0.apk | 21.8 KiB | 2024-12-30 09:48:11 |
fungw-fawk-1.2.1-r0.apk | 213.1 KiB | 2024-12-30 09:48:11 |
fungw-duktape-1.2.1-r0.apk | 24.9 KiB | 2024-12-30 09:48:11 |
fungw-doc-1.2.1-r0.apk | 12.9 KiB | 2024-12-30 09:48:11 |
fungw-dev-1.2.1-r0.apk | 7.6 KiB | 2024-12-30 09:48:11 |
fungw-cli-1.2.1-r0.apk | 36.0 KiB | 2024-12-30 09:48:11 |
fungw-c-1.2.1-r0.apk | 9.8 KiB | 2024-12-30 09:48:11 |
fungw-1.2.1-r0.apk | 13.7 KiB | 2024-12-30 09:48:11 |
fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-10-25 20:44:20 |
fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-10-25 20:44:20 |
fulcrum-1.9.8-r1.apk | 872.3 KiB | 2024-10-25 20:44:20 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-10-25 20:44:20 |
freshrss-sqlite-1.23.1-r1.apk | 1.4 KiB | 2024-10-25 20:44:19 |
freshrss-pgsql-1.23.1-r1.apk | 1.4 KiB | 2024-10-25 20:44:19 |
freshrss-openrc-1.23.1-r1.apk | 2.5 KiB | 2024-10-25 20:44:19 |
freshrss-mysql-1.23.1-r1.apk | 1.4 KiB | 2024-10-25 20:44:19 |
freshrss-lang-1.23.1-r1.apk | 379.2 KiB | 2024-10-25 20:44:19 |
freshrss-doc-1.23.1-r1.apk | 751.2 KiB | 2024-10-25 20:44:19 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-10-25 20:44:19 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-10-25 20:44:19 |
frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-10-25 20:44:19 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-10-25 20:44:19 |
freediameter-libfdproto-1.5.0-r1.apk | 84.2 KiB | 2024-10-25 20:44:19 |
freediameter-libfdcore-1.5.0-r1.apk | 157.1 KiB | 2024-10-25 20:44:19 |
freediameter-extensions-1.5.0-r1.apk | 355.5 KiB | 2024-10-25 20:44:19 |
freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-10-25 20:44:19 |
freediameter-1.5.0-r1.apk | 8.9 KiB | 2024-10-25 20:44:19 |
freecad-doc-1.0.0-r1.apk | 12.9 KiB | 2024-12-18 12:18:30 |
freecad-dev-1.0.0-r1.apk | 1.4 MiB | 2024-12-18 12:18:30 |
freecad-1.0.0-r1.apk | 107.5 MiB | 2024-12-18 12:18:30 |
freealut-dev-1.1.0-r1.apk | 29.4 KiB | 2024-10-25 20:44:19 |
freealut-1.1.0-r1.apk | 18.1 KiB | 2024-10-25 20:44:19 |
fq-0.13.0-r0.apk | 4.1 MiB | 2024-10-25 20:44:19 |
fpp-doc-0.9.5-r0.apk | 5.8 KiB | 2024-10-25 20:44:19 |
fpp-0.9.5-r0.apk | 29.5 KiB | 2024-10-25 20:44:19 |
fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-11-17 04:16:58 |
fplll-static-5.5.0-r0.apk | 12.4 MiB | 2024-11-17 04:16:57 |
fplll-libs-5.5.0-r0.apk | 6.4 MiB | 2024-11-17 04:16:57 |
fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-11-17 04:16:56 |
fplll-5.5.0-r0.apk | 48.2 KiB | 2024-11-17 04:16:56 |
fox-utils-1.6.57-r0.apk | 6.6 KiB | 2024-10-25 20:44:17 |
fox-shutterbug-1.6.57-r0.apk | 23.5 KiB | 2024-10-25 20:44:17 |
fox-pathfinder-1.6.57-r0.apk | 56.3 KiB | 2024-10-25 20:44:17 |
fox-doc-1.6.57-r0.apk | 2.0 MiB | 2024-10-25 20:44:17 |
fox-dev-1.6.57-r0.apk | 3.1 MiB | 2024-10-25 20:44:17 |
fox-calculator-1.6.57-r0.apk | 36.0 KiB | 2024-10-25 20:44:17 |
fox-adie-1.6.57-r0.apk | 129.8 KiB | 2024-10-25 20:44:17 |
fox-1.6.57-r0.apk | 1.2 MiB | 2024-10-25 20:44:17 |
foolsm-openrc-1.0.21-r0.apk | 1.8 KiB | 2024-10-25 20:44:17 |
foolsm-doc-1.0.21-r0.apk | 4.1 KiB | 2024-10-25 20:44:17 |
foolsm-1.0.21-r0.apk | 37.4 KiB | 2024-10-25 20:44:17 |
font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-10-25 20:44:17 |
font-tiresias-0_git20200704-r0.apk | 568.2 KiB | 2024-10-25 20:44:17 |
font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-10-25 20:44:17 |
font-teluguvijayam-20190525-r2.apk | 3.7 MiB | 2024-10-25 20:44:17 |
font-tamzen-1.11.5-r1.apk | 61.8 KiB | 2024-10-25 20:44:17 |
font-stix-ttf-2.13-r0.apk | 430.0 KiB | 2024-10-25 20:44:17 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-10-25 20:44:17 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-10-25 20:44:16 |
font-openmoji-15.0.0-r0.apk | 3.5 MiB | 2024-12-24 22:51:35 |
font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-10-25 20:44:16 |
font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-10-25 20:44:16 |
font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-10-25 20:44:16 |
font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-10-25 20:44:16 |
font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-10-25 20:44:16 |
font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-10-25 20:44:16 |
font-monaspace-1.101-r0.apk | 1.4 KiB | 2024-10-25 20:44:16 |
font-material-icons-4.0.0-r0.apk | 651.8 KiB | 2024-10-25 20:44:16 |
font-katex-0.16.2-r0.apk | 851.5 KiB | 2024-10-25 20:44:15 |
font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-10-25 20:44:15 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-10-25 20:44:15 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-10-25 20:44:13 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-10-25 20:44:13 |
font-fira-ttf-4.202-r0.apk | 6.2 MiB | 2024-10-25 20:44:13 |
font-fira-otf-4.202-r0.apk | 7.4 MiB | 2024-10-25 20:44:12 |
font-fira-code-vf-6.2-r0.apk | 145.0 KiB | 2024-10-25 20:44:12 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-10-25 20:44:12 |
font-fira-4.202-r0.apk | 1.4 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-normal-1.8.0-r0.apk | 316.0 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 316.0 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk | 316.0 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 316.0 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.8 KiB | 2024-10-25 20:44:12 |
font-fantasque-sans-1.8.0-r0.apk | 1.5 KiB | 2024-10-25 20:44:12 |
font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-10-25 20:44:12 |
font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-10-25 20:44:12 |
font-comic-neue-doc-2.51-r0.apk | 1004.3 KiB | 2024-10-25 20:44:12 |
font-comic-neue-2.51-r0.apk | 249.0 KiB | 2024-10-25 20:44:11 |
font-chivo-mono-0_git20221110-r0.apk | 625.8 KiB | 2024-10-25 20:44:11 |
font-chivo-0_git20221110-r0.apk | 792.3 KiB | 2024-10-25 20:44:11 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-10-25 20:44:11 |
font-anonymous-pro-1.002-r2.apk | 264.4 KiB | 2024-10-25 20:44:10 |
foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-10-25 20:44:10 |
foma-0.10.0_git20240712-r0.apk | 331.0 KiB | 2024-10-25 20:44:10 |
fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-10-25 20:44:10 |
fnf-0.1-r0.apk | 17.3 KiB | 2024-10-25 20:44:10 |
flowd-openrc-0.9.1-r10.apk | 1.9 KiB | 2024-10-25 20:44:10 |
flowd-doc-0.9.1-r10.apk | 10.1 KiB | 2024-10-25 20:44:10 |
flowd-dev-0.9.1-r10.apk | 8.3 KiB | 2024-10-25 20:44:10 |
flowd-0.9.1-r10.apk | 80.8 KiB | 2024-10-25 20:44:10 |
flintqs-1.0-r1.apk | 21.9 KiB | 2024-10-25 20:44:10 |
flint-dev-2.9.0-r2.apk | 311.3 KiB | 2024-10-25 20:44:10 |
flint-2.9.0-r2.apk | 5.9 MiB | 2024-10-25 20:44:10 |
flightgear-zsh-completion-2020.3.19-r1.apk | 5.4 KiB | 2024-10-25 20:44:09 |
flightgear-doc-2020.3.19-r1.apk | 60.8 KiB | 2024-10-25 20:44:09 |
flightgear-dbg-2020.3.19-r1.apk | 19.6 MiB | 2024-10-25 20:44:09 |
flightgear-bash-completion-2020.3.19-r1.apk | 4.2 KiB | 2024-10-25 20:44:08 |
flightgear-2020.3.19-r1.apk | 10.4 MiB | 2024-10-25 20:44:08 |
flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-11-03 21:07:16 |
flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-11-03 21:07:16 |
flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-11-03 21:07:16 |
flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-11-03 21:07:16 |
flawz-0.3.0-r0.apk | 1.2 MiB | 2024-11-03 21:07:16 |
flauschige-uhr-0.1-r1.apk | 4.2 KiB | 2024-10-25 20:44:07 |
flatpak-xdg-utils-1.0.6-r0.apk | 19.4 KiB | 2024-10-25 20:44:07 |
flashprog-libs-1.3-r0.apk | 164.5 KiB | 2024-11-12 15:59:48 |
flashprog-doc-1.3-r0.apk | 26.1 KiB | 2024-11-12 15:59:48 |
flashprog-dev-1.3-r0.apk | 344.7 KiB | 2024-11-12 15:59:48 |
flashprog-1.3-r0.apk | 171.9 KiB | 2024-11-12 15:59:48 |
flare-game-1.14-r0.apk | 2.2 KiB | 2024-10-25 20:44:07 |
flare-engine-doc-1.14-r0.apk | 2.4 KiB | 2024-10-25 20:44:07 |
flare-engine-1.14-r0.apk | 4.6 MiB | 2024-10-25 20:44:07 |
flann-doc-1.9.2-r0.apk | 2.6 KiB | 2024-10-25 20:44:07 |
flann-dev-1.9.2-r0.apk | 2.3 MiB | 2024-10-25 20:44:07 |
flann-1.9.2-r0.apk | 1.7 MiB | 2024-10-25 20:44:07 |
flamegraph-1.0_git20220918-r1.apk | 37.7 KiB | 2024-10-25 20:44:06 |
firehol-openrc-3.1.7-r2.apk | 2.0 KiB | 2024-10-25 20:44:06 |
firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-10-25 20:44:06 |
firehol-3.1.7-r2.apk | 84.7 KiB | 2024-10-25 20:44:06 |
finger-doc-0.5-r0.apk | 3.8 KiB | 2024-10-25 20:44:06 |
finger-0.5-r0.apk | 6.6 KiB | 2024-10-25 20:44:06 |
findtow-0.1-r0.apk | 4.8 KiB | 2024-10-25 20:44:06 |
fileshelter-openrc-6.2.0-r2.apk | 1.6 KiB | 2024-12-07 00:23:37 |
fileshelter-6.2.0-r2.apk | 313.6 KiB | 2024-12-07 00:23:37 |
filebrowser-openrc-2.27.0-r6.apk | 1.8 KiB | 2024-10-25 20:44:06 |
filebrowser-2.27.0-r6.apk | 7.0 MiB | 2024-10-25 20:44:06 |
fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-10-25 20:44:06 |
fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-10-25 20:44:06 |
fildesh-0.2.0-r0.apk | 69.0 KiB | 2024-10-25 20:44:06 |
fheroes2-lang-1.1.5-r0.apk | 1.7 MiB | 2025-01-03 15:52:07 |
fheroes2-1.1.5-r0.apk | 1.6 MiB | 2025-01-03 15:52:07 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.5 KiB | 2024-10-25 20:44:06 |
ffsend-fish-completion-0.2.76-r4.apk | 3.5 KiB | 2024-10-25 20:44:06 |
ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-10-25 20:44:06 |
ffsend-0.2.76-r4.apk | 1.6 MiB | 2024-10-25 20:44:06 |
ffms2-doc-5.0-r0.apk | 30.3 KiB | 2024-10-25 20:44:06 |
ffms2-dev-5.0-r0.apk | 7.6 KiB | 2024-10-25 20:44:06 |
ffms2-5.0-r0.apk | 72.5 KiB | 2024-10-25 20:44:06 |
fflas-ffpack-2.5.0-r3.apk | 345.3 KiB | 2024-10-25 20:44:06 |
fff-doc-2.2-r0.apk | 9.2 KiB | 2024-10-25 20:44:06 |
fff-2.2-r0.apk | 11.0 KiB | 2024-10-25 20:44:06 |
ff2mpv-rust-doc-1.1.5-r0.apk | 13.5 KiB | 2025-01-04 22:30:34 |
ff2mpv-rust-1.1.5-r0.apk | 212.5 KiB | 2025-01-04 22:30:34 |
featherpad-lang-1.5.1-r0.apk | 462.8 KiB | 2024-10-25 20:44:06 |
featherpad-1.5.1-r0.apk | 709.4 KiB | 2024-10-25 20:44:05 |
fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-10-25 20:44:05 |
fceux-doc-2.6.6-r2.apk | 105.8 KiB | 2024-10-25 20:44:05 |
fceux-2.6.6-r2.apk | 2.9 MiB | 2024-10-25 20:44:05 |
fbvnc-0_git20220812-r0.apk | 10.0 KiB | 2024-10-25 20:44:05 |
fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-10-25 20:44:05 |
fbcur-1.0.1-r1.apk | 4.6 KiB | 2024-10-25 20:44:05 |
fava-pyc-1.28-r0.apk | 164.0 KiB | 2024-10-25 20:44:05 |
fava-1.28-r0.apk | 1.1 MiB | 2024-10-25 20:44:05 |
faust-vim-2.60.3-r2.apk | 2.6 KiB | 2024-10-25 20:44:05 |
faust-tools-2.60.3-r2.apk | 118.0 KiB | 2024-10-25 20:44:05 |
faust-static-2.60.3-r2.apk | 935.5 KiB | 2024-10-25 20:44:05 |
faust-doc-2.60.3-r2.apk | 16.7 MiB | 2024-10-25 20:44:05 |
faust-dev-2.60.3-r2.apk | 770.5 KiB | 2024-10-25 20:44:04 |
faust-2.60.3-r2.apk | 8.4 MiB | 2024-10-25 20:44:04 |
faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-10-25 20:44:03 |
faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-10-25 20:44:03 |
faultstat-0.01.11-r0.apk | 12.6 KiB | 2024-10-25 20:44:03 |
fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-10-25 20:44:03 |
fatresize-1.1.0-r1.apk | 8.2 KiB | 2024-10-25 20:44:03 |
fatrace-doc-0.17.0-r0.apk | 3.3 KiB | 2024-10-25 20:44:03 |
fatrace-0.17.0-r0.apk | 9.8 KiB | 2024-10-25 20:44:03 |
fathom-1.3.1-r8.apk | 4.4 MiB | 2024-10-25 20:44:03 |
fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-10-25 20:44:03 |
fatback-1.3-r2.apk | 33.8 KiB | 2024-10-25 20:44:03 |
fastd-openrc-22-r3.apk | 1.7 KiB | 2024-10-25 20:44:03 |
fastd-doc-22-r3.apk | 3.3 KiB | 2024-10-25 20:44:03 |
fastd-22-r3.apk | 73.2 KiB | 2024-10-25 20:44:03 |
fast_float-5.2.0-r1.apk | 42.8 KiB | 2024-10-25 20:44:03 |
fakeroot-tcp-1.32.1-r1.apk | 29.7 KiB | 2024-10-25 20:44:03 |
fabric-pyc-3.2.2-r1.apk | 60.0 KiB | 2024-10-25 20:44:03 |
fabric-3.2.2-r1.apk | 54.6 KiB | 2024-10-25 20:44:03 |
f_scripts-f_youtube-0.6-r1.apk | 2.8 KiB | 2024-10-25 20:44:03 |
f_scripts-f_web-0.6-r1.apk | 2.9 KiB | 2024-10-25 20:44:03 |
f_scripts-f_timer-0.6-r1.apk | 2.4 KiB | 2024-10-25 20:44:03 |
f_scripts-f_theme-0.6-r1.apk | 2.6 KiB | 2024-10-25 20:44:03 |
f_scripts-f_rss-0.6-r1.apk | 2.5 KiB | 2024-10-25 20:44:03 |
f_scripts-f_phone-0.6-r1.apk | 6.0 KiB | 2024-10-25 20:44:03 |
f_scripts-f_networks-0.6-r1.apk | 3.0 KiB | 2024-10-25 20:44:03 |
f_scripts-f_maps-0.6-r1.apk | 2.1 KiB | 2024-10-25 20:44:03 |
f_scripts-f_game-0.6-r1.apk | 1.9 KiB | 2024-10-25 20:44:03 |
f_scripts-f_files-0.6-r1.apk | 2.9 KiB | 2024-10-25 20:44:03 |
f_scripts-f_audio-0.6-r1.apk | 3.3 KiB | 2024-10-25 20:44:03 |
f_scripts-0.6-r1.apk | 1.4 KiB | 2024-10-25 20:44:03 |
extundelete-0.2.4-r1.apk | 41.7 KiB | 2024-10-25 20:44:03 |
extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-10-25 20:44:03 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-10-25 20:44:03 |
extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-10-25 20:44:00 |
extrace-0.9-r0.apk | 9.7 KiB | 2024-10-25 20:44:00 |
exercism-zsh-completion-3.2.0-r7.apk | 2.1 KiB | 2024-10-25 20:44:00 |
exercism-fish-completion-3.2.0-r7.apk | 2.4 KiB | 2024-10-25 20:44:00 |
exercism-bash-completion-3.2.0-r7.apk | 2.0 KiB | 2024-10-25 20:44:00 |
exercism-3.2.0-r7.apk | 3.7 MiB | 2024-10-25 20:44:00 |
exabgp-pyc-4.2.22-r0.apk | 891.7 KiB | 2024-11-30 10:48:05 |
exabgp-openrc-4.2.22-r0.apk | 2.2 KiB | 2024-11-30 10:48:05 |
exabgp-doc-4.2.22-r0.apk | 8.0 KiB | 2024-11-30 10:48:05 |
exabgp-4.2.22-r0.apk | 437.4 KiB | 2024-11-30 10:48:05 |
evolution-on-3.24.4-r0.apk | 10.5 KiB | 2024-10-30 14:25:10 |
ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-10-25 20:44:00 |
ettercap-0.8.3.1-r3.apk | 570.0 KiB | 2024-10-25 20:44:00 |
esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-10-25 20:44:00 |
esptool-4.8.1-r0.apk | 424.0 KiB | 2024-10-25 20:44:00 |
espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-10-25 20:44:00 |
espeakup-0.90-r2.apk | 11.5 KiB | 2024-10-25 20:44:00 |
ergo-ldap-doc-0.0.1-r12.apk | 2.3 KiB | 2024-10-25 20:44:00 |
ergo-ldap-0.0.1-r12.apk | 1.9 MiB | 2024-10-25 20:44:00 |
epr-pyc-2.4.15-r1.apk | 24.3 KiB | 2024-10-25 20:44:00 |
epr-2.4.15-r1.apk | 15.7 KiB | 2024-10-25 20:44:00 |
epoch-1.3.0-r2.apk | 57.1 KiB | 2024-10-25 20:43:59 |
envsubst-0.1-r1.apk | 4.9 KiB | 2024-10-25 20:43:59 |
envconsul-0.13.2-r8.apk | 4.4 MiB | 2024-10-25 20:43:59 |
enlighten-doc-0.9.2-r1.apk | 3.4 KiB | 2024-10-25 20:43:59 |
enlighten-0.9.2-r1.apk | 7.0 KiB | 2024-10-25 20:43:59 |
enjoy-0.3-r1.apk | 12.1 KiB | 2024-10-25 20:43:59 |
endlessh-doc-1.1-r0.apk | 2.6 KiB | 2024-10-25 20:43:59 |
endlessh-1.1-r0.apk | 9.0 KiB | 2024-10-25 20:43:59 |
endless-sky-doc-0.10.2-r0.apk | 36.5 KiB | 2024-10-25 20:43:59 |
endless-sky-0.10.2-r0.apk | 242.3 MiB | 2024-10-25 20:43:59 |
endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-12-08 21:36:56 |
endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-12-08 21:36:56 |
endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-12-08 21:36:56 |
endeavour-43.0-r2.apk | 188.6 KiB | 2024-12-08 21:36:56 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-10-25 20:43:42 |
emulationstation-2.11.2-r1.apk | 1.3 MiB | 2024-10-25 20:43:42 |
emacs-taxy-magit-section-0.13-r0.apk | 17.3 KiB | 2024-10-25 20:43:42 |
emacs-taxy-0.10.1-r0.apk | 11.4 KiB | 2024-10-25 20:43:42 |
emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-10-25 20:43:42 |
emacs-sqlite3-api-0.18-r0.apk | 17.4 KiB | 2024-10-25 20:43:42 |
emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-10-25 20:43:42 |
emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-10-25 20:43:42 |
emacs-llama-0.3.1_git20240722-r0.apk | 9.9 KiB | 2024-10-25 20:43:42 |
emacs-hydra-0.15.0_git20220910-r0.apk | 45.9 KiB | 2024-10-25 20:43:42 |
emacs-hnreader-0_git20221116-r0.apk | 9.7 KiB | 2024-10-25 20:43:42 |
emacs-helm-3.9.7_git20240329-r0.apk | 815.2 KiB | 2024-10-25 20:43:42 |
emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-10-25 20:43:42 |
emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-10-25 20:43:42 |
emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-10-25 20:43:42 |
emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-10-25 20:43:42 |
emacs-ement-0.15.1-r0.apk | 287.3 KiB | 2024-10-25 20:43:42 |
emacs-embark-consult-1.0_git20240327-r0.apk | 10.4 KiB | 2024-10-25 20:43:42 |
emacs-embark-1.0_git20240327-r0.apk | 109.7 KiB | 2024-10-25 20:43:42 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk | 4.3 KiB | 2024-10-25 20:43:42 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.4 KiB | 2024-10-25 20:43:42 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-10-25 20:43:42 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-10-25 20:43:42 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-10-25 20:43:42 |
emacs-elfeed-3.4.1_git20240326-r0.apk | 91.3 KiB | 2024-10-25 20:43:42 |
emacs-derl-0_git20231004-r0.apk | 23.5 KiB | 2024-10-25 20:43:42 |
emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-10-25 20:43:42 |
emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-10-25 20:43:42 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.1 KiB | 2024-10-25 20:43:42 |
emacs-avy-embark-collect-1.0_git20240327-r0.apk | 3.9 KiB | 2024-10-25 20:43:42 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-10-25 20:43:42 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-10-25 20:43:42 |
elfio-dev-3.12-r0.apk | 55.0 KiB | 2024-10-25 20:43:42 |
elfio-3.12-r0.apk | 1.4 KiB | 2024-10-25 20:43:42 |
elf_diff-pyc-0.7.1-r3.apk | 107.6 KiB | 2024-10-25 20:43:42 |
elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-10-25 20:43:42 |
elementary-videos-lang-8.0.1-r0.apk | 85.5 KiB | 2024-11-10 22:06:57 |
elementary-videos-8.0.1-r0.apk | 109.9 KiB | 2024-11-10 22:06:57 |
elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-11-10 22:08:02 |
elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2025-01-03 15:52:07 |
elementary-photos-8.0.1-r0.apk | 1.1 MiB | 2025-01-03 15:52:07 |
elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-10-28 22:06:33 |
elementary-music-8.0.0-r0.apk | 73.4 KiB | 2024-10-28 22:06:33 |
elementary-icon-theme-8.0.0-r0.apk | 5.0 MiB | 2024-10-25 20:43:42 |
elementary-feedback-lang-8.0.0-r0.apk | 43.5 KiB | 2024-10-27 14:17:34 |
elementary-feedback-8.0.0-r0.apk | 43.0 KiB | 2024-10-27 14:17:34 |
elementary-calculator-lang-8.0.0-r0.apk | 57.3 KiB | 2024-10-28 22:05:50 |
elementary-calculator-8.0.0-r0.apk | 70.2 KiB | 2024-10-28 22:05:50 |
eiwd-openrc-2.22-r0.apk | 1.8 KiB | 2024-10-25 20:43:41 |
eiwd-doc-2.22-r0.apk | 19.8 KiB | 2024-10-25 20:43:41 |
eiwd-2.22-r0.apk | 848.8 KiB | 2024-10-25 20:43:41 |
edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-10-25 20:43:41 |
edward-1.1.0-r0.apk | 1.8 MiB | 2024-10-25 20:43:41 |
edam-doc-1.0.2-r0.apk | 8.3 KiB | 2025-01-07 16:28:07 |
edam-1.0.2-r0.apk | 40.1 KiB | 2025-01-07 16:28:07 |
ecos-dev-2.0.10-r0.apk | 28.3 KiB | 2024-10-25 20:43:41 |
ecos-2.0.10-r0.apk | 38.8 KiB | 2024-10-25 20:43:41 |
ecm-doc-7.0.5-r1.apk | 7.2 KiB | 2024-10-25 20:43:41 |
ecm-dev-7.0.5-r1.apk | 4.8 KiB | 2024-10-25 20:43:41 |
ecm-7.0.5-r1.apk | 128.0 KiB | 2024-10-25 20:43:41 |
eclipse-ecj-4.33-r0.apk | 2.5 MiB | 2024-11-22 21:50:59 |
eclib-static-20241112-r0.apk | 20.0 MiB | 2024-12-31 13:28:49 |
eclib-libs-20241112-r0.apk | 1.2 MiB | 2024-12-31 13:28:47 |
eclib-doc-20241112-r0.apk | 27.9 KiB | 2024-12-31 13:28:47 |
eclib-dev-20241112-r0.apk | 94.0 KiB | 2024-12-31 13:28:47 |
eclib-20241112-r0.apk | 343.5 KiB | 2024-12-31 13:28:47 |
eccodes-2.32.1-r0.apk | 11.2 MiB | 2024-10-25 20:43:39 |
ecasound-doc-2.9.3-r3.apk | 38.6 KiB | 2024-10-25 20:43:38 |
ecasound-dev-2.9.3-r3.apk | 2.0 MiB | 2024-10-25 20:43:38 |
ecasound-2.9.3-r3.apk | 700.5 KiB | 2024-10-25 20:43:38 |
eboard-doc-1.1.3-r1.apk | 4.6 KiB | 2024-10-25 20:43:38 |
eboard-1.1.3-r1.apk | 1.4 MiB | 2024-10-25 20:43:38 |
eatmemory-0.1.6-r2.apk | 4.2 KiB | 2024-10-25 20:43:37 |
e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-11-05 13:22:10 |
e16-doc-1.0.30-r0.apk | 27.3 KiB | 2024-11-05 13:22:10 |
e16-1.0.30-r0.apk | 797.5 KiB | 2024-11-05 13:22:10 |
dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-10-25 20:43:37 |
dwl-0.7-r0.apk | 28.1 KiB | 2024-10-25 20:43:37 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-10-25 20:43:37 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-10-25 20:43:37 |
dvdbackup-0.4.2-r1.apk | 15.6 KiB | 2024-10-25 20:43:37 |
dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-10-25 20:43:37 |
dumb_runtime_dir-1.0.4-r3.apk | 3.6 KiB | 2024-10-25 20:43:37 |
dulcepan-1.0.2-r0.apk | 22.8 KiB | 2024-10-25 20:43:37 |
duf-0.8.1-r21.apk | 1.0 MiB | 2024-10-25 20:43:37 |
duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-10-25 20:43:36 |
duc-1.4.5-r0.apk | 88.0 KiB | 2024-10-25 20:43:36 |
dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-10-25 20:43:35 |
dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-10-25 20:43:35 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-10-25 20:43:35 |
dublin-traceroute-0.4.2-r4.apk | 45.9 KiB | 2024-10-25 20:43:35 |
dsp-doc-1.9-r2.apk | 7.2 KiB | 2024-10-25 20:43:35 |
dsp-1.9-r2.apk | 99.1 KiB | 2024-10-25 20:43:35 |
dsnet-doc-0.7.3-r6.apk | 9.1 KiB | 2025-01-03 16:48:58 |
dsnet-0.7.3-r6.apk | 3.6 MiB | 2025-01-03 16:48:58 |
drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-12-04 17:27:59 |
drupal7-7.103-r0.apk | 3.3 MiB | 2024-12-04 17:27:59 |
drumgizmo-0.9.20-r1.apk | 408.9 KiB | 2024-10-25 20:43:33 |
dropwatch-doc-1.5.4-r6.apk | 3.7 KiB | 2024-10-25 20:43:33 |
dropwatch-1.5.4-r6.apk | 16.1 KiB | 2024-10-25 20:43:33 |
drone-cli-1.8.0-r5.apk | 5.4 MiB | 2024-10-25 20:43:32 |
droidcam-gui-2.1.3-r1.apk | 33.2 KiB | 2024-11-08 21:25:40 |
droidcam-2.1.3-r1.apk | 18.8 KiB | 2024-11-08 21:25:40 |
drogon-doc-1.9.4-r1.apk | 2.3 KiB | 2024-10-25 20:43:31 |
drogon-dev-1.9.4-r1.apk | 124.6 KiB | 2024-10-25 20:43:31 |
drogon-1.9.4-r1.apk | 1.5 MiB | 2024-10-25 20:43:31 |
drawing-lang-1.0.2-r0.apk | 271.9 KiB | 2024-10-25 20:43:31 |
drawing-1.0.2-r0.apk | 3.2 MiB | 2024-10-25 20:43:31 |
draw-0.1.1-r8.apk | 956.0 KiB | 2024-10-25 20:43:31 |
draco-tools-1.5.7-r1.apk | 1.2 MiB | 2024-10-25 20:43:31 |
draco-static-1.5.7-r1.apk | 2.5 MiB | 2024-10-25 20:43:30 |
draco-dev-1.5.7-r1.apk | 213.0 KiB | 2024-10-25 20:43:30 |
draco-1.5.7-r1.apk | 829.6 KiB | 2024-10-25 20:43:30 |
downloader-cli-0.3.4-r1.apk | 2.0 KiB | 2024-10-25 20:43:30 |
dovi-tool-2.1.2-r0.apk | 1.2 MiB | 2024-10-25 20:43:30 |
dooit-pyc-3.1.0-r0.apk | 99.7 KiB | 2024-12-07 20:32:30 |
dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-12-07 20:32:30 |
dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-12-07 20:32:30 |
dooit-3.1.0-r0.apk | 44.6 KiB | 2024-12-07 20:32:30 |
dolt-1.43.20-r0.apk | 34.2 MiB | 2024-12-06 10:35:55 |
dodo-pyc-0_git20241007-r0.apk | 85.5 KiB | 2024-10-25 20:43:27 |
dodo-0_git20241007-r0.apk | 185.7 KiB | 2024-10-25 20:43:27 |
dockerize-0.9.0-r0.apk | 2.9 MiB | 2024-12-20 05:53:47 |
docker-volume-local-persist-openrc-1.3.0-r28.apk | 1.8 KiB | 2024-10-25 20:43:27 |
docker-volume-local-persist-1.3.0-r28.apk | 2.2 MiB | 2024-10-25 20:43:27 |
docker-auth-openrc-1.12.0-r0.apk | 2.0 KiB | 2024-11-13 11:52:28 |
docker-auth-1.12.0-r0.apk | 8.9 MiB | 2024-11-13 11:52:28 |
doasedit-1.0.7-r0.apk | 3.6 KiB | 2024-10-25 20:43:26 |
dnssec-tools-doc-2.2.3-r12.apk | 315.4 KiB | 2024-10-25 20:43:26 |
dnssec-tools-dev-2.2.3-r12.apk | 302.5 KiB | 2024-10-25 20:43:26 |
dnssec-tools-2.2.3-r12.apk | 762.8 KiB | 2024-10-25 20:43:26 |
dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-10-25 20:43:26 |
dnsperf-2.14.0-r0.apk | 71.7 KiB | 2024-10-25 20:43:26 |
dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-10-25 20:43:26 |
dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-10-25 20:43:26 |
dnscrypt-wrapper-0.4.2-r3.apk | 30.3 KiB | 2024-10-25 20:43:26 |
dnscontrol-doc-4.15.3-r0.apk | 2.2 KiB | 2025-01-04 21:57:54 |
dnscontrol-4.15.3-r0.apk | 13.6 MiB | 2025-01-04 21:57:54 |
dnote-zsh-completion-0.15.1-r0.apk | 2.0 KiB | 2024-10-25 20:43:25 |
dnote-doc-0.15.1-r0.apk | 14.7 KiB | 2024-10-25 20:43:25 |
dnote-bash-completion-0.15.1-r0.apk | 2.1 KiB | 2024-10-25 20:43:25 |
dnote-0.15.1-r0.apk | 4.1 MiB | 2024-10-25 20:43:25 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-11-29 21:58:21 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.9 KiB | 2024-11-29 21:58:21 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-11-29 21:58:21 |
dmarc-cat-0.15.0-r0.apk | 2.3 MiB | 2024-10-25 20:43:25 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-10-25 20:43:25 |
dlib-19.24.4-r0.apk | 775.0 KiB | 2024-10-25 20:43:24 |
dived-doc-1.9.0-r0.apk | 10.7 KiB | 2024-10-25 20:43:24 |
dived-1.9.0-r0.apk | 22.8 KiB | 2024-10-25 20:43:24 |
dive-0.12.0-r0.apk | 3.8 MiB | 2024-10-25 20:43:24 |
dislocker-libs-0.7.3-r5.apk | 44.9 KiB | 2024-10-25 20:43:24 |
dislocker-doc-0.7.3-r5.apk | 6.0 KiB | 2024-10-25 20:43:24 |
dislocker-0.7.3-r5.apk | 12.6 KiB | 2024-10-25 20:43:24 |
disfetch-3.7-r0.apk | 8.3 KiB | 2024-10-25 20:43:24 |
ding-libs-dev-0.6.2-r4.apk | 67.9 KiB | 2024-10-25 20:43:24 |
ding-libs-0.6.2-r4.apk | 73.0 KiB | 2024-10-25 20:43:24 |
diceware-pyc-0.10-r1.apk | 17.0 KiB | 2024-10-25 20:43:24 |
diceware-0.10-r1.apk | 217.6 KiB | 2024-10-25 20:43:24 |
dhewm3-1.5.2-r0.apk | 4.6 MiB | 2024-10-25 20:43:24 |
dfu-programmer-doc-1.1.0-r0.apk | 5.7 KiB | 2024-10-25 20:43:24 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-10-25 20:43:24 |
dfu-programmer-1.1.0-r0.apk | 35.3 KiB | 2024-10-25 20:43:24 |
dfl-sni-dev-0.2.0-r0.apk | 4.1 KiB | 2024-10-25 20:43:24 |
dfl-sni-0.2.0-r0.apk | 31.4 KiB | 2024-10-25 20:43:24 |
dfl-login1-dev-0.2.0-r0.apk | 3.4 KiB | 2024-10-25 20:43:24 |
dfl-login1-0.2.0-r0.apk | 16.5 KiB | 2024-10-25 20:43:24 |
dfl-ipc-dev-0.2.0-r0.apk | 3.6 KiB | 2024-10-25 20:43:24 |
dfl-ipc-0.2.0-r0.apk | 20.4 KiB | 2024-10-25 20:43:24 |
dfl-applications-dev-0.2.0-r0.apk | 4.1 KiB | 2024-10-25 20:43:24 |
dfl-applications-0.2.0-r0.apk | 32.8 KiB | 2024-10-25 20:43:24 |
dex-doc-0.10.1-r0.apk | 6.3 KiB | 2024-12-25 19:27:23 |
dex-0.10.1-r0.apk | 8.6 KiB | 2024-12-25 19:27:23 |
devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-10-25 20:43:24 |
devil-1.8.0-r0.apk | 266.4 KiB | 2024-10-25 20:43:24 |
detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-10-25 20:43:24 |
detox-2.0.0-r0.apk | 115.5 KiB | 2024-10-25 20:43:24 |
desync-0.9.6-r0.apk | 7.1 MiB | 2025-01-04 22:20:34 |
desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-10-25 20:43:23 |
desed-1.2.1-r1.apk | 425.0 KiB | 2024-10-25 20:43:23 |
dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-10-25 20:43:23 |
decoder-lang-0.6.1-r0.apk | 59.4 KiB | 2024-10-25 20:43:23 |
decoder-0.6.1-r0.apk | 2.0 MiB | 2024-10-25 20:43:23 |
debconf-utils-1.5.82-r0.apk | 6.6 KiB | 2024-10-25 20:43:23 |
debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-10-25 20:43:23 |
debconf-doc-1.5.82-r0.apk | 23.5 KiB | 2024-10-25 20:43:23 |
debconf-bash-completion-1.5.82-r0.apk | 1.8 KiB | 2024-10-25 20:43:23 |
debconf-1.5.82-r0.apk | 69.4 KiB | 2024-10-25 20:43:23 |
deadbeef-soxr-20180801-r0.apk | 6.3 KiB | 2024-10-25 20:43:23 |
ddserver-0_git20200930-r1.apk | 12.0 KiB | 2024-10-25 20:43:23 |
ddnrs-openrc-0.3.0-r0.apk | 2.0 KiB | 2024-10-25 20:43:23 |
ddnrs-0.3.0-r0.apk | 989.4 KiB | 2024-10-25 20:43:23 |
ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-10-25 20:43:23 |
ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-10-25 20:43:23 |
ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-10-25 20:43:23 |
ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-10-25 20:43:23 |
ddgr-2.2-r0.apk | 20.1 KiB | 2024-10-25 20:43:23 |
ddcci-driver-linux-src-0.4.5-r1.apk | 18.9 KiB | 2025-01-09 11:45:34 |
dcnnt-pyc-0.10.0-r1.apk | 61.6 KiB | 2024-10-25 20:43:23 |
dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-10-25 20:43:23 |
dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-10-25 20:43:23 |
dbus-waiter-0.2.0-r0.apk | 694.2 KiB | 2024-10-25 20:43:23 |
dbus-broker-doc-36-r0.apk | 5.8 KiB | 2024-10-25 20:43:23 |
dbus-broker-36-r0.apk | 84.9 KiB | 2024-10-25 20:43:23 |
dbmate-doc-2.16.0-r0.apk | 2.3 KiB | 2024-10-25 20:43:23 |
dbmate-2.16.0-r0.apk | 9.7 MiB | 2024-10-25 20:43:23 |
dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-10-25 20:43:22 |
dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-10-25 20:43:22 |
dasht-2.4.0-r0.apk | 14.2 KiB | 2024-10-25 20:43:22 |
darts-clone-dev-0_git20181117-r0.apk | 13.0 KiB | 2024-10-25 20:43:22 |
darts-clone-0_git20181117-r0.apk | 39.9 KiB | 2024-10-25 20:43:22 |
daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-10-25 20:43:22 |
daemontools-0.76-r3.apk | 67.4 KiB | 2024-10-25 20:43:22 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 9.7 KiB | 2024-10-25 20:43:22 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-10-25 20:43:22 |
cyrus-sasl-xoauth2-0.2-r1.apk | 6.7 KiB | 2024-10-25 20:43:22 |
cvs-fast-export-tools-1.65-r0.apk | 8.6 KiB | 2024-10-25 20:43:22 |
cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-10-25 20:43:22 |
cvs-fast-export-1.65-r0.apk | 47.8 KiB | 2024-10-25 20:43:22 |
cvise-pyc-2.8.0-r2.apk | 60.2 KiB | 2024-10-25 20:43:22 |
cvise-2.8.0-r2.apk | 5.7 MiB | 2024-10-25 20:43:22 |
cutechess-doc-1.3.1-r0.apk | 3.5 KiB | 2024-10-25 20:43:22 |
cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-10-25 20:43:22 |
cutechess-cli-1.3.1-r0.apk | 352.8 KiB | 2024-10-25 20:43:22 |
cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-10-25 20:43:22 |
curtail-lang-1.11.1-r0.apk | 65.9 KiB | 2024-10-25 20:43:22 |
curtail-1.11.1-r0.apk | 26.7 KiB | 2024-10-25 20:43:22 |
curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-10-25 20:43:22 |
curlftpfs-0.9.2-r3.apk | 23.4 KiB | 2024-10-25 20:43:22 |
cura-lang-5.2.2-r1.apk | 4.1 MiB | 2024-10-25 20:43:22 |
cura-5.2.2-r1.apk | 42.1 MiB | 2024-10-25 20:43:21 |
cups-pdf-3.0.1-r2.apk | 21.5 KiB | 2024-10-25 20:43:18 |
ctorrent-dnh-3.3.2-r2.apk | 86.1 KiB | 2024-10-25 20:43:18 |
csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-10-25 20:43:18 |
csol-1.6.0-r0.apk | 38.3 KiB | 2024-10-25 20:43:18 |
csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-10-25 20:43:18 |
csmith-2.3.0-r2.apk | 314.6 KiB | 2024-10-25 20:43:18 |
csfml-doc-2.5.2-r0.apk | 218.1 KiB | 2024-10-25 20:43:18 |
csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-10-25 20:43:18 |
csfml-2.5.2-r0.apk | 93.6 KiB | 2024-10-25 20:43:18 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-10-25 20:43:18 |
cscope-15.9-r1.apk | 152.1 KiB | 2024-10-25 20:43:18 |
crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-11-12 11:55:57 |
crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-11-12 11:55:57 |
crowdsec-splunk-plugin-1.6.4-r0.apk | 7.7 MiB | 2024-11-23 21:27:25 |
crowdsec-slack-plugin-1.6.4-r0.apk | 7.8 MiB | 2024-11-23 21:27:24 |
crowdsec-sentinel-plugin-1.6.4-r0.apk | 7.7 MiB | 2024-11-23 21:27:23 |
crowdsec-openrc-1.6.4-r0.apk | 1.7 KiB | 2024-11-23 21:27:22 |
crowdsec-http-plugin-1.6.4-r0.apk | 7.7 MiB | 2024-11-23 21:27:22 |
crowdsec-email-plugin-1.6.4-r0.apk | 7.8 MiB | 2024-11-23 21:27:21 |
crowdsec-1.6.4-r0.apk | 31.3 MiB | 2024-11-23 21:27:21 |
crosstool-ng-doc-1.26.0_git20240914-r0.apk | 3.9 KiB | 2024-10-25 20:43:13 |
crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk | 2.2 KiB | 2024-10-25 20:43:13 |
crosstool-ng-1.26.0_git20240914-r0.apk | 2.1 MiB | 2024-10-25 20:43:13 |
crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-10-25 20:43:13 |
crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-10-25 20:43:13 |
critcl-doc-3.3.1-r0.apk | 787.3 KiB | 2024-10-25 20:43:13 |
critcl-3.3.1-r0.apk | 433.7 KiB | 2024-10-25 20:43:13 |
crispy-doom-doc-7.0-r0.apk | 106.8 KiB | 2024-10-25 20:43:13 |
crispy-doom-7.0-r0.apk | 1.9 MiB | 2024-10-25 20:43:13 |
createrepo_c-libs-1.1.4-r0.apk | 88.5 KiB | 2024-10-25 20:43:10 |
createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-10-25 20:43:10 |
createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-10-25 20:43:10 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-10-25 20:43:10 |
createrepo_c-1.1.4-r0.apk | 48.2 KiB | 2024-10-25 20:43:10 |
crazydiskinfo-1.1.0-r1.apk | 33.1 KiB | 2024-10-25 20:43:10 |
cpplint-pyc-2.0.0-r0.apk | 96.2 KiB | 2024-11-21 19:14:25 |
cpplint-2.0.0-r0.apk | 76.9 KiB | 2024-11-21 19:14:25 |
cpiped-0.1.0-r0.apk | 7.1 KiB | 2024-10-25 20:43:10 |
coxeter-libs-3.0-r1.apk | 318.0 KiB | 2024-10-25 20:43:10 |
coxeter-dev-3.0-r1.apk | 56.7 KiB | 2024-10-25 20:43:10 |
coxeter-3.0-r1.apk | 48.3 KiB | 2024-10-25 20:43:10 |
cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-10-25 20:43:10 |
cowsay-3.04-r2.apk | 18.4 KiB | 2024-10-25 20:43:10 |
cortex-tenant-openrc-1.15.2-r1.apk | 2.0 KiB | 2024-12-20 05:38:21 |
cortex-tenant-1.15.2-r1.apk | 3.8 MiB | 2024-12-20 05:38:21 |
copyq-doc-9.1.0-r1.apk | 3.4 KiB | 2024-12-12 17:45:12 |
copyq-bash-completion-9.1.0-r1.apk | 2.2 KiB | 2024-12-12 17:45:12 |
copyq-9.1.0-r1.apk | 2.6 MiB | 2024-12-12 17:45:12 |
convert2json-doc-1.1.2-r0.apk | 10.7 KiB | 2024-11-30 11:19:02 |
convert2json-1.1.2-r0.apk | 2.3 MiB | 2024-11-30 11:19:02 |
contractor-0.3.5-r0.apk | 26.8 KiB | 2024-11-12 22:02:48 |
consul-replicate-0.4.0-r26.apk | 2.6 MiB | 2024-10-25 20:43:10 |
console_bridge-dev-1.0.2-r0.apk | 4.8 KiB | 2024-10-25 20:43:09 |
console_bridge-1.0.2-r0.apk | 9.3 KiB | 2024-10-25 20:43:09 |
compiz-utils-0.9.14.2-r7.apk | 3.3 KiB | 2024-11-22 15:31:06 |
compiz-pyc-0.9.14.2-r7.apk | 111.5 KiB | 2024-11-22 15:31:06 |
compiz-lang-0.9.14.2-r7.apk | 1.2 MiB | 2024-11-22 15:31:06 |
compiz-dev-0.9.14.2-r7.apk | 117.1 KiB | 2024-11-22 15:31:06 |
compiz-0.9.14.2-r7.apk | 6.1 MiB | 2024-11-22 15:31:06 |
commoncpp-tools-7.0.1-r1.apk | 45.4 KiB | 2024-10-25 20:43:08 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-10-25 20:43:08 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-10-25 20:43:08 |
commoncpp-7.0.1-r1.apk | 286.3 KiB | 2024-10-25 20:43:08 |
comics-downloader-gui-0.33.8-r5.apk | 5.2 MiB | 2024-10-25 20:43:08 |
comics-downloader-0.33.8-r5.apk | 3.5 MiB | 2024-10-25 20:43:08 |
colorpicker-0_git20201128-r1.apk | 4.0 KiB | 2024-10-25 20:43:07 |
colormake-doc-0.9.20170221-r0.apk | 2.9 KiB | 2024-10-25 20:43:07 |
colormake-0.9.20170221-r0.apk | 4.3 KiB | 2024-10-25 20:43:07 |
coin-dev-4.0.3-r0.apk | 326.2 KiB | 2024-12-13 20:30:07 |
coin-4.0.3-r0.apk | 3.0 MiB | 2024-12-13 20:30:07 |
codeberg-cli-zsh-completion-0.4.7-r0.apk | 6.7 KiB | 2025-01-07 06:29:51 |
codeberg-cli-fish-completion-0.4.7-r0.apk | 4.8 KiB | 2025-01-07 06:29:51 |
codeberg-cli-bash-completion-0.4.7-r0.apk | 4.9 KiB | 2025-01-07 06:29:51 |
codeberg-cli-0.4.7-r0.apk | 2.0 MiB | 2025-01-07 06:29:51 |
code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-12-12 19:37:30 |
code-minimap-0.6.7-r0.apk | 365.2 KiB | 2024-12-12 19:37:30 |
cmusfm-0.5.0-r0.apk | 14.4 KiB | 2024-10-25 20:43:07 |
cluster-glue-libs-1.0.12-r5.apk | 113.8 KiB | 2024-10-25 20:43:07 |
cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-10-25 20:43:07 |
cluster-glue-dev-1.0.12-r5.apk | 2.1 MiB | 2024-10-25 20:43:07 |
cluster-glue-1.0.12-r5.apk | 268.3 KiB | 2024-10-25 20:43:07 |
cloudfoundry-cli-8.7.9-r4.apk | 8.0 MiB | 2024-10-25 20:43:06 |
cln-doc-1.3.7-r0.apk | 77.8 KiB | 2024-10-25 20:43:06 |
cln-dev-1.3.7-r0.apk | 2.1 MiB | 2024-10-25 20:43:06 |
cln-1.3.7-r0.apk | 461.3 KiB | 2024-10-25 20:43:05 |
cliquer-tests-1.22-r2.apk | 24.0 KiB | 2024-10-25 20:43:05 |
cliquer-static-1.22-r2.apk | 49.9 KiB | 2024-10-25 20:43:05 |
cliquer-libs-1.22-r2.apk | 25.7 KiB | 2024-10-25 20:43:05 |
cliquer-dev-1.22-r2.apk | 7.4 KiB | 2024-10-25 20:43:05 |
cliquer-1.22-r2.apk | 7.1 KiB | 2024-10-25 20:43:05 |
clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-10-25 20:43:05 |
clipit-1.4.5-r3.apk | 65.5 KiB | 2024-10-25 20:43:05 |
cliphist-fzf-0.6.1-r0.apk | 1.7 KiB | 2024-10-25 20:43:05 |
cliphist-0.6.1-r0.apk | 904.2 KiB | 2024-10-25 20:43:05 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.4 KiB | 2024-10-25 20:43:05 |
clinfo-3.0.23.01.25-r0.apk | 45.7 KiB | 2024-10-25 20:43:05 |
click-pyc-0.5.2-r3.apk | 176.3 KiB | 2024-10-25 20:43:05 |
click-doc-0.5.2-r3.apk | 3.3 KiB | 2024-10-25 20:43:05 |
click-dev-0.5.2-r3.apk | 9.3 KiB | 2024-10-25 20:43:05 |
click-0.5.2-r3.apk | 159.3 KiB | 2024-10-25 20:43:05 |
clementine-1.4.0_git20220324-r12.apk | 7.5 MiB | 2024-10-25 20:43:05 |
clatd-1.6-r0.apk | 12.6 KiB | 2024-10-25 20:43:04 |
ckb-next-dev-0.6.0-r1.apk | 5.0 KiB | 2024-10-25 20:43:04 |
ckb-next-daemon-openrc-0.6.0-r1.apk | 1.8 KiB | 2024-10-25 20:43:04 |
ckb-next-daemon-0.6.0-r1.apk | 75.9 KiB | 2024-10-25 20:43:04 |
ckb-next-0.6.0-r1.apk | 1.3 MiB | 2024-10-25 20:43:04 |
circuslinux-doc-1.0.3-r1.apk | 18.2 KiB | 2024-10-25 20:43:04 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-10-25 20:43:04 |
circuslinux-1.0.3-r1.apk | 20.1 KiB | 2024-10-25 20:43:04 |
cimg-3.4.1-r0.apk | 826.0 KiB | 2024-10-25 20:43:04 |
cilium-cli-zsh-completion-0.16.13-r0.apk | 4.0 KiB | 2024-10-25 20:43:04 |
cilium-cli-fish-completion-0.16.13-r0.apk | 4.3 KiB | 2024-10-25 20:43:04 |
cilium-cli-bash-completion-0.16.13-r0.apk | 5.1 KiB | 2024-10-25 20:43:04 |
cilium-cli-0.16.13-r0.apk | 52.8 MiB | 2024-10-25 20:43:04 |
chocolate-doom-doc-3.1.0-r0.apk | 231.3 KiB | 2024-10-25 20:43:00 |
chocolate-doom-3.1.0-r0.apk | 1.7 MiB | 2024-10-25 20:43:00 |
chicago95-icons-3.0.1_git20240619-r0.apk | 12.0 MiB | 2024-10-25 20:43:00 |
chicago95-fonts-3.0.1_git20240619-r0.apk | 214.8 KiB | 2024-10-25 20:42:59 |
chicago95-3.0.1_git20240619-r0.apk | 481.1 KiB | 2024-10-25 20:42:59 |
cherrytree-lang-1.2.0-r3.apk | 845.6 KiB | 2024-12-18 12:18:24 |
cherrytree-doc-1.2.0-r3.apk | 2.1 KiB | 2024-12-18 12:18:24 |
cherrytree-1.2.0-r3.apk | 2.7 MiB | 2024-12-18 12:18:24 |
checkpolicy-doc-3.6-r0.apk | 4.1 KiB | 2024-10-25 20:42:59 |
checkpolicy-3.6-r0.apk | 368.6 KiB | 2024-10-25 20:42:59 |
charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-10-25 20:42:59 |
charls-2.4.2-r0.apk | 69.3 KiB | 2024-10-25 20:42:59 |
cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-10-25 20:42:59 |
cgo-0.6.1-r1.apk | 10.1 KiB | 2024-10-25 20:42:59 |
cgiirc-0.5.12-r1.apk | 132.8 KiB | 2024-10-25 20:42:59 |
cfssl-1.6.5-r0.apk | 26.6 MiB | 2024-10-25 20:42:59 |
certstrap-1.3.0-r18.apk | 2.0 MiB | 2024-10-25 20:42:57 |
certigo-1.16.0-r18.apk | 3.3 MiB | 2024-10-25 20:42:57 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3.9 KiB | 2024-10-25 20:42:57 |
certbot-dns-pdns-0.1.1-r0.apk | 8.8 KiB | 2024-10-25 20:42:57 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-11-27 23:08:19 |
certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-11-27 23:08:19 |
cdogs-sdl-2.1.0-r0.apk | 33.5 MiB | 2024-10-25 20:42:57 |
cdist-pyc-7.0.0-r6.apk | 127.2 KiB | 2024-10-25 20:42:54 |
cdist-7.0.0-r6.apk | 511.0 KiB | 2024-10-25 20:42:54 |
cddlib-tools-0.94m-r2.apk | 37.6 KiB | 2024-10-25 20:42:54 |
cddlib-static-0.94m-r2.apk | 472.8 KiB | 2024-10-25 20:42:54 |
cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-10-25 20:42:54 |
cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-10-25 20:42:54 |
cddlib-0.94m-r2.apk | 193.4 KiB | 2024-10-25 20:42:54 |
cdba-server-1.0-r2.apk | 21.9 KiB | 2024-10-25 20:42:54 |
cdba-1.0-r2.apk | 7.8 KiB | 2024-10-25 20:42:54 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-10-25 20:42:54 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-10-25 20:42:54 |
ccze-0.2.1-r1.apk | 51.5 KiB | 2024-10-25 20:42:54 |
ccrtp-doc-2.1.2-r0.apk | 31.5 KiB | 2024-10-25 20:42:54 |
ccrtp-dev-2.1.2-r0.apk | 53.0 KiB | 2024-10-25 20:42:54 |
ccrtp-2.1.2-r0.apk | 93.0 KiB | 2024-10-25 20:42:54 |
cc65-2.19-r0.apk | 8.9 MiB | 2024-10-25 20:42:54 |
cbqn-0.8.0-r0.apk | 778.8 KiB | 2025-01-07 18:01:09 |
cava-0.10.3-r0.apk | 43.1 KiB | 2024-11-16 19:15:02 |
catfish-pyc-4.20.0-r0.apk | 101.1 KiB | 2024-12-27 10:39:07 |
catfish-lang-4.20.0-r0.apk | 162.4 KiB | 2024-12-27 10:39:07 |
catfish-doc-4.20.0-r0.apk | 12.9 KiB | 2024-12-27 10:39:07 |
catfish-4.20.0-r0.apk | 126.3 KiB | 2024-12-27 10:39:07 |
catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-10-25 20:42:53 |
catdoc-0.95-r1.apk | 111.0 KiB | 2024-10-25 20:42:53 |
catcodec-doc-1.0.5-r2.apk | 5.1 KiB | 2024-10-25 20:42:53 |
catcodec-1.0.5-r2.apk | 14.9 KiB | 2024-10-25 20:42:53 |
castero-pyc-0.9.5-r3.apk | 94.8 KiB | 2024-10-25 20:42:53 |
castero-0.9.5-r3.apk | 51.9 KiB | 2024-10-25 20:42:53 |
cargo-update-doc-16.0.0-r0.apk | 8.2 KiB | 2024-12-14 18:12:34 |
cargo-update-16.0.0-r0.apk | 1.1 MiB | 2024-12-14 18:12:34 |
cargo-shuttle-zsh-completion-0.51.0-r0.apk | 7.8 KiB | 2025-01-10 20:39:45 |
cargo-shuttle-fish-completion-0.51.0-r0.apk | 8.3 KiB | 2025-01-10 20:39:45 |
cargo-shuttle-doc-0.51.0-r0.apk | 9.5 KiB | 2025-01-10 20:39:45 |
cargo-shuttle-bash-completion-0.51.0-r0.apk | 5.0 KiB | 2025-01-10 20:39:45 |
cargo-shuttle-0.51.0-r0.apk | 5.4 MiB | 2025-01-10 20:39:45 |
cargo-expand-doc-1.0.98-r0.apk | 5.7 KiB | 2025-01-10 06:38:33 |
cargo-expand-1.0.98-r0.apk | 2.3 MiB | 2025-01-10 06:38:33 |
cargo-crev-0.25.9-r0.apk | 6.1 MiB | 2024-10-25 20:42:53 |
caps2esc-0.3.2-r0.apk | 4.5 KiB | 2024-10-25 20:42:52 |
caja-gtkhash-plugin-1.5-r0.apk | 24.8 KiB | 2024-10-25 20:42:52 |
caffeine-ng-lang-4.2.0-r1.apk | 34.3 KiB | 2024-10-25 20:42:52 |
caffeine-ng-doc-4.2.0-r1.apk | 3.1 KiB | 2024-10-25 20:42:52 |
caffeine-ng-4.2.0-r1.apk | 100.3 KiB | 2024-10-25 20:42:52 |
cadence-0.9.2-r0.apk | 1.9 MiB | 2024-10-25 20:42:52 |
bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-10-25 20:42:52 |
bwrap-oci-0.2-r1.apk | 15.2 KiB | 2024-10-25 20:42:52 |
butane-0.22.0-r0.apk | 2.6 MiB | 2024-10-25 20:42:52 |
burp-server-3.1.4-r0.apk | 36.4 KiB | 2024-10-25 20:42:52 |
burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-10-25 20:42:52 |
burp-3.1.4-r0.apk | 168.2 KiB | 2024-10-25 20:42:52 |
bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-10-25 20:42:52 |
bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-10-25 20:42:52 |
buildcache-0.28.9-r0.apk | 800.0 KiB | 2024-10-25 20:42:52 |
buf-zsh-completion-1.48.0-r0.apk | 4.0 KiB | 2025-01-03 15:52:06 |
buf-protoc-plugins-1.48.0-r0.apk | 11.8 MiB | 2025-01-03 15:52:06 |
buf-fish-completion-1.48.0-r0.apk | 4.3 KiB | 2025-01-03 15:52:06 |
buf-bash-completion-1.48.0-r0.apk | 8.5 KiB | 2025-01-03 15:52:06 |
buf-1.48.0-r0.apk | 12.3 MiB | 2025-01-03 15:52:06 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-10-25 20:42:50 |
btpd-0.16-r2.apk | 82.2 KiB | 2024-10-25 20:42:50 |
btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-10-25 20:42:50 |
btfs-2.24-r12.apk | 30.7 KiB | 2024-10-25 20:42:50 |
btcd-0.24.0-r3.apk | 13.8 MiB | 2024-10-25 20:42:50 |
brltty-static-6.7-r0.apk | 37.8 KiB | 2024-10-25 20:42:49 |
brltty-lang-6.7-r0.apk | 148.7 KiB | 2024-10-25 20:42:49 |
brltty-doc-6.7-r0.apk | 9.4 KiB | 2024-10-25 20:42:49 |
brltty-dev-6.7-r0.apk | 140.1 KiB | 2024-10-25 20:42:49 |
brltty-6.7-r0.apk | 2.2 MiB | 2024-10-25 20:42:49 |
brial-dev-1.2.11-r4.apk | 2.2 MiB | 2024-10-25 20:42:49 |
brial-1.2.11-r4.apk | 1.0 MiB | 2024-10-25 20:42:49 |
boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-10-25 20:42:49 |
boxes-2.3.1-r0.apk | 80.2 KiB | 2024-10-25 20:42:49 |
boxed-cpp-doc-1.4.3-r0.apk | 5.4 KiB | 2024-10-25 20:42:49 |
boxed-cpp-dev-1.4.3-r0.apk | 6.2 KiB | 2024-10-25 20:42:49 |
boxed-cpp-1.4.3-r0.apk | 1.2 KiB | 2024-10-25 20:42:49 |
boson-0_git20211219-r0.apk | 17.8 KiB | 2024-10-25 20:42:49 |
bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-10-25 20:42:49 |
bootterm-0.5-r0.apk | 19.7 KiB | 2024-10-25 20:42:49 |
bootloose-0.7.1-r6.apk | 2.0 MiB | 2024-10-25 20:42:49 |
bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-10-25 20:42:49 |
bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-10-25 20:42:49 |
bootchart2-0.14.9-r0.apk | 137.0 KiB | 2025-01-03 15:52:05 |
bonzomatic-20230615-r0.apk | 650.1 KiB | 2024-10-25 20:42:49 |
bomctl-zsh-completion-0.1.9-r1.apk | 4.0 KiB | 2025-01-05 02:01:46 |
bomctl-fish-completion-0.1.9-r1.apk | 4.3 KiB | 2025-01-05 02:01:46 |
bomctl-bash-completion-0.1.9-r1.apk | 5.1 KiB | 2025-01-05 02:01:46 |
bomctl-0.1.9-r1.apk | 8.8 MiB | 2025-01-05 02:01:46 |
boinc-screensaver-7.24.3-r0.apk | 126.6 KiB | 2024-10-25 20:42:49 |
boinc-libs-7.24.3-r0.apk | 199.9 KiB | 2024-10-25 20:42:49 |
boinc-lang-7.24.3-r0.apk | 876.9 KiB | 2024-10-25 20:42:49 |
boinc-gui-7.24.3-r0.apk | 1004.4 KiB | 2024-10-25 20:42:49 |
boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-10-25 20:42:49 |
boinc-dev-7.24.3-r0.apk | 596.1 KiB | 2024-10-25 20:42:49 |
boinc-7.24.3-r0.apk | 1.5 MiB | 2024-10-25 20:42:48 |
bochs-doc-2.8-r0.apk | 139.5 KiB | 2024-10-25 20:42:48 |
bochs-2.8-r0.apk | 950.9 KiB | 2024-10-25 20:42:48 |
bobcat-doc-4.09.00-r0.apk | 345.4 KiB | 2024-10-25 20:42:48 |
bobcat-dev-4.09.00-r0.apk | 1023.4 KiB | 2024-10-25 20:42:48 |
bobcat-4.09.00-r0.apk | 662.8 KiB | 2024-10-25 20:42:48 |
boa-cli-0.20-r0.apk | 7.2 MiB | 2025-01-10 12:55:43 |
bliss-dev-0.77-r1.apk | 188.2 KiB | 2024-10-25 20:42:48 |
bliss-0.77-r1.apk | 75.8 KiB | 2024-10-25 20:42:48 |
blip-doc-0.10-r0.apk | 29.9 KiB | 2024-10-25 20:42:48 |
blip-0.10-r0.apk | 15.5 KiB | 2024-10-25 20:42:48 |
blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-10-25 20:42:48 |
bitlbee-mastodon-1.4.5-r0.apk | 48.8 KiB | 2024-10-25 20:42:48 |
bitlbee-facebook-1.2.2-r0.apk | 57.2 KiB | 2024-10-25 20:42:48 |
birdtray-1.9.0-r1.apk | 403.4 KiB | 2024-10-25 20:42:48 |
bird3-openrc-3.0.0-r0.apk | 2.3 KiB | 2024-12-20 17:10:27 |
bird3-dbg-3.0.0-r0.apk | 2.2 MiB | 2024-12-20 17:10:27 |
bird3-3.0.0-r0.apk | 574.2 KiB | 2024-12-20 17:10:27 |
biometryd-dev-0.3.1-r6.apk | 13.3 KiB | 2024-11-12 19:23:54 |
biometryd-0.3.1-r6.apk | 314.1 KiB | 2024-11-12 19:23:54 |
binwalk-pyc-2.4.3-r0.apk | 167.2 KiB | 2024-12-01 17:24:14 |
binwalk-2.4.3-r0.apk | 145.3 KiB | 2024-12-01 17:24:14 |
bindfs-doc-1.17.7-r0.apk | 9.0 KiB | 2025-01-03 15:52:05 |
bindfs-1.17.7-r0.apk | 22.3 KiB | 2025-01-03 15:52:05 |
bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-10-25 20:42:48 |
bgs-0.8-r1.apk | 5.4 KiB | 2024-10-25 20:42:48 |
bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-10-25 20:42:48 |
bgpq4-1.15-r0.apk | 33.5 KiB | 2024-10-25 20:42:48 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-10-25 20:42:47 |
bestline-dev-0.0_git20211108-r0.apk | 1.9 KiB | 2024-10-25 20:42:46 |
bestline-0.0_git20211108-r0.apk | 21.7 KiB | 2024-10-25 20:42:46 |
berry-lang-1.1.0-r0.apk | 122.1 KiB | 2024-10-25 20:42:46 |
belr-dev-5.3.83-r0.apk | 14.9 KiB | 2024-10-25 20:42:46 |
belr-5.3.83-r0.apk | 110.8 KiB | 2024-10-25 20:42:46 |
belle-sip-dev-5.3.83-r0.apk | 55.2 KiB | 2024-10-25 20:42:46 |
belle-sip-5.3.83-r0.apk | 686.9 KiB | 2024-10-25 20:42:46 |
belcard-libs-5.3.83-r0.apk | 215.3 KiB | 2024-10-25 20:42:46 |
belcard-dev-5.3.83-r0.apk | 12.2 KiB | 2024-10-25 20:42:46 |
belcard-5.3.83-r0.apk | 12.3 KiB | 2024-10-25 20:42:46 |
bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-10-25 20:42:46 |
bees-0.10-r2.apk | 292.5 KiB | 2024-10-25 20:42:46 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2024-10-25 20:42:46 |
beard-0.4-r0.apk | 3.1 KiB | 2024-10-25 20:42:46 |
beancount-language-server-1.3.6-r0.apk | 1.3 MiB | 2024-11-06 07:22:14 |
bdfr-2.6.2-r1.apk | 130.8 KiB | 2024-10-25 20:42:46 |
bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-10-25 20:42:46 |
bchunk-1.2.2-r3.apk | 7.2 KiB | 2024-10-25 20:42:46 |
bcg729-dev-1.1.1-r0.apk | 3.7 KiB | 2024-10-25 20:42:46 |
bcg729-1.1.1-r0.apk | 35.7 KiB | 2024-10-25 20:42:46 |
batmon-0.0.1-r0.apk | 457.7 KiB | 2024-10-25 20:42:46 |
base64c-dev-0.2.1-r0.apk | 5.8 KiB | 2024-10-25 20:42:45 |
base64c-0.2.1-r0.apk | 4.3 KiB | 2024-10-25 20:42:45 |
bartib-1.0.1-r1.apk | 396.9 KiB | 2024-10-25 20:42:45 |
barrier-doc-2.4.0-r1.apk | 13.2 KiB | 2024-10-25 20:42:45 |
barrier-2.4.0-r1.apk | 956.8 KiB | 2024-10-25 20:42:45 |
barman-pyc-3.12.1-r0.apk | 543.1 KiB | 2024-12-31 16:20:46 |
barman-doc-3.12.1-r0.apk | 76.9 KiB | 2024-12-31 16:20:46 |
barman-bash-completion-3.12.1-r0.apk | 1.6 KiB | 2024-12-31 16:20:46 |
barman-3.12.1-r0.apk | 340.8 KiB | 2024-12-31 16:20:46 |
bananui-shell-0.2.0-r0.apk | 107.5 KiB | 2024-10-25 20:42:45 |
bananui-dev-2.0.0-r0.apk | 181.6 KiB | 2024-10-25 20:42:45 |
bananui-demos-2.0.0-r0.apk | 9.1 KiB | 2024-10-25 20:42:45 |
bananui-dbg-2.0.0-r0.apk | 177.0 KiB | 2024-10-25 20:42:45 |
bananui-daemons-0.1.0-r0.apk | 44.5 KiB | 2024-10-25 20:42:45 |
bananui-clock-0.1.0-r0.apk | 6.9 KiB | 2024-10-25 20:42:45 |
bananui-2.0.0-r0.apk | 57.0 KiB | 2024-10-25 20:42:45 |
bakelite-0.4.2-r0.apk | 36.9 KiB | 2024-10-25 20:42:45 |
baikal-sqlite-0.10.1-r0.apk | 1.4 KiB | 2024-11-10 19:26:40 |
baikal-pgsql-0.10.1-r0.apk | 1.2 KiB | 2024-11-10 19:26:40 |
baikal-mysql-0.10.1-r0.apk | 1.2 KiB | 2024-11-10 19:26:40 |
baikal-0.10.1-r0.apk | 1.2 MiB | 2024-11-10 19:26:40 |
backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-10-25 20:42:45 |
b2sum-doc-20190729-r2.apk | 2.7 KiB | 2024-10-25 20:42:45 |
b2sum-20190729-r2.apk | 15.0 KiB | 2024-10-25 20:42:45 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 1.1 MiB | 2024-10-25 20:42:45 |
azpainter-doc-3.0.9-r0.apk | 42.0 KiB | 2024-10-25 20:42:45 |
azpainter-3.0.9-r0.apk | 859.3 KiB | 2024-10-25 20:42:45 |
azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-12-14 21:14:14 |
azote-1.14.0-r0.apk | 7.6 MiB | 2024-12-14 21:14:14 |
aws-lc-tools-1.42.0-r0.apk | 121.8 KiB | 2025-01-07 08:29:40 |
aws-lc-dev-1.42.0-r0.apk | 408.7 KiB | 2025-01-07 08:29:40 |
aws-lc-1.42.0-r0.apk | 952.2 KiB | 2025-01-07 08:29:40 |
avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-10-25 20:42:44 |
avra-1.4.2-r0.apk | 40.8 KiB | 2024-10-25 20:42:44 |
avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-10-25 20:42:44 |
avarice-2.14-r4.apk | 101.5 KiB | 2024-10-25 20:42:44 |
avara-0.7.1-r1.apk | 21.4 MiB | 2024-11-04 08:52:35 |
avahi2dns-openrc-0.0.1_git20240102-r2.apk | 1.8 KiB | 2024-10-25 20:42:43 |
avahi2dns-0.0.1_git20240102-r2.apk | 2.1 MiB | 2024-10-25 20:42:43 |
autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-10-25 20:42:43 |
autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-10-25 20:42:43 |
autorestic-1.8.3-r0.apk | 3.4 MiB | 2024-10-25 20:42:43 |
autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-10-25 20:42:43 |
autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-10-25 20:42:43 |
autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-10-25 20:42:43 |
autoconf-policy-0.1-r0.apk | 5.7 KiB | 2024-10-25 20:42:43 |
aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-10-25 20:42:43 |
aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-10-25 20:42:43 |
aufs-util-20161219-r3.apk | 244.3 KiB | 2024-10-25 20:42:43 |
ats2-0.4.2-r0.apk | 1.8 MiB | 2024-10-25 20:42:43 |
atools-go-doc-0.2.1-r1.apk | 2.2 KiB | 2024-12-27 18:02:42 |
atools-go-0.2.1-r1.apk | 1.0 MiB | 2024-12-27 18:02:42 |
atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-10-25 20:42:42 |
atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-10-25 20:42:42 |
atool-0.39.0-r4.apk | 17.7 KiB | 2024-10-25 20:42:42 |
atomicparsley-20240608-r0.apk | 117.2 KiB | 2024-10-25 20:42:42 |
atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-10-25 20:42:42 |
atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-10-25 20:42:42 |
atlantik-3.5.10_git20240323-r0.apk | 384.5 KiB | 2024-10-25 20:42:42 |
atac-0.18.1-r0.apk | 4.8 MiB | 2024-11-25 21:46:06 |
aspell-es-1.11-r0.apk | 533.2 KiB | 2024-10-25 20:42:42 |
armagetronad-doc-0.2.9.1.1-r0.apk | 91.9 KiB | 2024-10-25 20:42:42 |
armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-10-25 20:42:42 |
argocd-zsh-completion-2.13.1-r0.apk | 4.0 KiB | 2024-12-11 21:01:56 |
argocd-doc-2.13.1-r0.apk | 5.4 KiB | 2024-12-11 21:01:56 |
argocd-bash-completion-2.13.1-r0.apk | 20.3 KiB | 2024-12-11 21:01:56 |
argocd-2.13.1-r0.apk | 36.0 MiB | 2024-12-11 21:01:56 |
arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-10-25 20:42:40 |
arc-theme-20221218-r0.apk | 1.4 KiB | 2024-10-25 20:42:40 |
arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-10-25 20:42:40 |
arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-10-25 20:42:40 |
arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-10-25 20:42:40 |
arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-10-25 20:42:40 |
arc-lighter-gtk3-20221218-r0.apk | 124.5 KiB | 2024-10-25 20:42:40 |
arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-10-25 20:42:40 |
arc-lighter-20221218-r0.apk | 1.7 KiB | 2024-10-25 20:42:40 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-10-25 20:42:40 |
arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-10-25 20:42:40 |
arc-gtk3-20221218-r0.apk | 125.8 KiB | 2024-10-25 20:42:39 |
arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-10-25 20:42:39 |
arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-10-25 20:42:39 |
arc-darker-xfwm-20221218-r0.apk | 7.8 KiB | 2024-10-25 20:42:39 |
arc-darker-metacity-20221218-r0.apk | 17.4 KiB | 2024-10-25 20:42:39 |
arc-darker-gtk4-20221218-r0.apk | 110.1 KiB | 2024-10-25 20:42:39 |
arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-10-25 20:42:39 |
arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-10-25 20:42:39 |
arc-darker-20221218-r0.apk | 1.7 KiB | 2024-10-25 20:42:39 |
arc-dark-xfwm-20221218-r0.apk | 7.8 KiB | 2024-10-25 20:42:39 |
arc-dark-metacity-20221218-r0.apk | 17.4 KiB | 2024-10-25 20:42:39 |
arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-10-25 20:42:39 |
arc-dark-gtk3-20221218-r0.apk | 93.2 KiB | 2024-10-25 20:42:39 |
arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-10-25 20:42:39 |
arc-dark-gnome-20221218-r0.apk | 27.0 KiB | 2024-10-25 20:42:39 |
arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-10-25 20:42:39 |
arc-dark-20221218-r0.apk | 1.7 KiB | 2024-10-25 20:42:39 |
arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-10-25 20:42:39 |
arc-20221218-r0.apk | 1.7 KiB | 2024-10-25 20:42:39 |
aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-10-25 20:42:39 |
aqemu-0.9.4-r3.apk | 1.6 MiB | 2024-10-25 20:42:39 |
apulse-doc-0.1.13-r2.apk | 2.8 KiB | 2024-10-25 20:42:39 |
apulse-0.1.13-r2.apk | 41.5 KiB | 2024-10-25 20:42:39 |
aptdec-libs-1.8.0-r0.apk | 15.7 KiB | 2024-10-25 20:42:39 |
aptdec-dev-1.8.0-r0.apk | 3.5 KiB | 2024-10-25 20:42:39 |
aptdec-1.8.0-r0.apk | 86.3 KiB | 2024-10-25 20:42:39 |
apt-mirror-doc-0.5.4-r0.apk | 3.7 KiB | 2024-10-25 20:42:39 |
apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-10-25 20:42:39 |
apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-10-25 20:42:39 |
apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-10-25 20:42:39 |
apt-dater-1.0.4-r4.apk | 57.6 KiB | 2024-10-25 20:42:39 |
aprilsh-server-0.7.12-r0.apk | 2.3 MiB | 2024-10-25 20:42:39 |
aprilsh-openrc-0.7.12-r0.apk | 1.8 KiB | 2024-10-25 20:42:39 |
aprilsh-doc-0.7.12-r0.apk | 14.7 KiB | 2024-10-25 20:42:39 |
aprilsh-client-0.7.12-r0.apk | 2.9 MiB | 2024-10-25 20:42:39 |
aprilsh-0.7.12-r0.apk | 1.6 KiB | 2024-10-25 20:42:39 |
appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-11-12 22:02:48 |
appcenter-8.0.0-r0.apk | 403.2 KiB | 2024-11-12 22:02:48 |
aports-glmr-0.2-r23.apk | 2.3 MiB | 2024-10-25 20:42:39 |
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk | 1.9 MiB | 2024-10-30 05:03:26 |
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk | 120.3 KiB | 2024-10-30 05:03:26 |
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk | 37.6 KiB | 2024-10-30 05:03:26 |
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk | 22.3 KiB | 2024-10-30 05:03:26 |
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk | 573.1 KiB | 2024-10-30 05:03:26 |
apk-tools3-3.0.0_pre3_git20241029-r0.apk | 51.3 KiB | 2024-10-30 05:03:26 |
apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-10-25 20:42:38 |
apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-10-25 20:42:38 |
apk-readme-0.1-r1.apk | 1.5 KiB | 2024-10-25 20:42:38 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-11-17 12:51:23 |
apk-autoupdate-0_git20210421-r1.apk | 13.3 KiB | 2024-11-17 12:51:23 |
apache2-mod-realdoc-1-r1.apk | 4.8 KiB | 2024-10-25 20:42:38 |
apache2-mod-perl-doc-2.0.13-r1.apk | 302.8 KiB | 2024-10-25 20:42:38 |
apache2-mod-perl-dev-2.0.13-r1.apk | 39.8 KiB | 2024-10-25 20:42:38 |
apache2-mod-perl-dbg-2.0.13-r1.apk | 63.5 KiB | 2024-10-25 20:42:38 |
apache2-mod-perl-2.0.13-r1.apk | 679.4 KiB | 2024-10-25 20:42:38 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.3 KiB | 2024-10-25 20:42:38 |
apache2-mod-authnz-external-3.3.3-r0.apk | 8.0 KiB | 2024-10-25 20:42:38 |
apache-mod-auth-openidc-static-2.4.16.4-r0.apk | 474.7 KiB | 2024-10-25 20:42:38 |
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk | 4.8 KiB | 2024-10-25 20:42:38 |
apache-mod-auth-openidc-2.4.16.4-r0.apk | 215.4 KiB | 2024-10-25 20:42:38 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 60.6 KiB | 2024-10-25 20:42:38 |
antimicrox-doc-3.4.0-r0.apk | 23.1 KiB | 2024-10-25 20:42:38 |
antimicrox-3.4.0-r0.apk | 1.6 MiB | 2024-10-25 20:42:38 |
antibody-6.1.1-r23.apk | 1.7 MiB | 2024-10-25 20:42:38 |
ansiweather-doc-1.19.0-r1.apk | 3.0 KiB | 2024-10-25 20:42:38 |
ansiweather-1.19.0-r1.apk | 4.7 KiB | 2024-10-25 20:42:38 |
angband-4.2.5-r0.apk | 22.7 MiB | 2024-10-25 20:42:38 |
android-file-transfer-libs-4.3-r0.apk | 125.9 KiB | 2024-10-25 20:42:36 |
android-file-transfer-dev-4.3-r0.apk | 1.5 KiB | 2024-10-25 20:42:36 |
android-file-transfer-cli-4.3-r0.apk | 111.4 KiB | 2024-10-25 20:42:36 |
android-file-transfer-4.3-r0.apk | 183.9 KiB | 2024-10-25 20:42:36 |
anari-sdk-static-0.7.2-r0.apk | 299.4 KiB | 2024-10-25 20:42:36 |
anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-10-25 20:42:36 |
anari-sdk-0.7.2-r0.apk | 302.4 KiB | 2024-10-25 20:42:36 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-10-25 20:42:36 |
anarch-1.0-r1.apk | 102.7 KiB | 2024-10-25 20:42:36 |
amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-10-25 20:42:36 |
amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-10-25 20:42:36 |
amule-2.3.3-r13.apk | 4.2 MiB | 2024-10-25 20:42:36 |
ampy-pyc-1.1.0-r5.apk | 19.6 KiB | 2024-10-25 20:42:36 |
ampy-doc-1.1.0-r5.apk | 3.4 KiB | 2024-10-25 20:42:36 |
ampy-1.1.0-r5.apk | 15.8 KiB | 2024-10-25 20:42:36 |
amiitool-2-r2.apk | 8.0 KiB | 2024-10-25 20:42:36 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-10-25 20:42:36 |
amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-10-25 20:42:36 |
amber-mpris-dev-1.2.9-r0.apk | 6.7 KiB | 2024-12-22 16:54:44 |
amber-mpris-1.2.9-r0.apk | 213.5 KiB | 2024-12-22 16:54:44 |
amber-0.3.3-r0.apk | 440.1 KiB | 2024-10-25 20:42:36 |
alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-10-25 20:42:36 |
alttab-1.7.1-r0.apk | 37.6 KiB | 2024-10-25 20:42:36 |
alps-openrc-0_git20230807-r7.apk | 2.0 KiB | 2024-11-04 20:02:14 |
alps-0_git20230807-r7.apk | 5.1 MiB | 2024-11-04 20:02:14 |
alpine-lift-0.2.0-r18.apk | 3.2 MiB | 2024-10-25 20:42:35 |
alarmwakeup-utils-0.2.1-r0.apk | 4.0 KiB | 2024-10-25 20:42:35 |
alarmwakeup-libs-0.2.1-r0.apk | 4.3 KiB | 2024-10-25 20:42:35 |
alarmwakeup-dev-0.2.1-r0.apk | 2.5 KiB | 2024-10-25 20:42:35 |
alarmwakeup-dbg-0.2.1-r0.apk | 17.8 KiB | 2024-10-25 20:42:35 |
alarmwakeup-0.2.1-r0.apk | 6.3 KiB | 2024-10-25 20:42:35 |
aide-doc-0.18.8-r0.apk | 13.8 KiB | 2024-10-25 20:42:35 |
aide-0.18.8-r0.apk | 76.3 KiB | 2024-10-25 20:42:35 |
agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-10-25 20:42:35 |
agrep-0.8.0-r2.apk | 8.0 KiB | 2024-10-25 20:42:35 |
agate-openrc-3.3.8-r0.apk | 2.0 KiB | 2024-10-25 20:42:35 |
agate-3.3.8-r0.apk | 756.3 KiB | 2024-10-25 20:42:35 |
afetch-doc-2.2.0-r1.apk | 13.5 KiB | 2024-10-25 20:42:35 |
afetch-2.2.0-r1.apk | 8.4 KiB | 2024-10-25 20:42:35 |
advancescan-doc-1.18-r1.apk | 7.2 KiB | 2024-10-25 20:42:34 |
advancescan-1.18-r1.apk | 276.0 KiB | 2024-10-25 20:42:34 |
advancemame-mess-3.9-r4.apk | 3.3 MiB | 2024-10-25 20:42:34 |
advancemame-menu-3.9-r4.apk | 792.9 KiB | 2024-10-25 20:42:33 |
advancemame-doc-3.9-r4.apk | 373.5 KiB | 2024-10-25 20:42:33 |
advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-10-25 20:42:33 |
advancemame-3.9-r4.apk | 11.9 MiB | 2024-10-25 20:42:33 |
admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-10-25 20:42:32 |
admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-10-25 20:42:32 |
admesh-0.98.5-r0.apk | 26.2 KiB | 2024-10-25 20:42:32 |
adjtimex-doc-1.29-r0.apk | 7.3 KiB | 2024-10-25 20:42:32 |
adjtimex-1.29-r0.apk | 19.1 KiB | 2024-10-25 20:42:32 |
adguardhome-openrc-0.107.55-r0.apk | 2.1 KiB | 2024-12-12 19:36:00 |
adguardhome-0.107.55-r0.apk | 10.1 MiB | 2024-12-12 19:36:00 |
acmetool-doc-0.2.2-r8.apk | 47.3 KiB | 2024-10-25 20:42:32 |
acmetool-0.2.2-r8.apk | 4.1 MiB | 2024-10-25 20:42:32 |
ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-10-25 20:42:32 |
ace-of-penguins-1.4-r3.apk | 166.6 KiB | 2024-10-25 20:42:32 |
abi-dumper-1.2-r0.apk | 35.1 KiB | 2025-01-10 22:46:42 |
abc-0_git20240102-r0.apk | 5.0 MiB | 2024-10-25 20:42:32 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-10-25 20:42:31 |
a2jmidid-9-r3.apk | 28.0 KiB | 2024-10-25 20:42:31 |
APKINDEX.tar.gz | 590.0 KiB | 2025-01-10 22:51:57 |
66-init-0.8.0.0-r8.apk | 1.5 KiB | 2025-01-10 17:33:07 |
66-doc-0.8.0.0-r8.apk | 167.4 KiB | 2025-01-10 17:33:07 |
66-dev-0.8.0.0-r8.apk | 444.8 KiB | 2025-01-10 17:33:07 |
66-0.8.0.0-r8.apk | 276.4 KiB | 2025-01-10 17:33:07 |
3proxy-openrc-0.9.4-r1.apk | 1.7 KiB | 2024-10-25 20:42:31 |
3proxy-doc-0.9.4-r1.apk | 24.9 KiB | 2024-10-25 20:42:31 |
3proxy-0.9.4-r1.apk | 361.8 KiB | 2024-10-25 20:42:31 |