| Parent directory/ | - | - |
| a2jmidid-9-r3.apk | 31.3 KiB | 2024-10-25 20:45:20 |
| a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-10-25 20:45:20 |
| 9base-6-r2.apk | 2.0 MiB | 2024-10-25 20:45:20 |
| 9base-doc-6-r2.apk | 63.5 KiB | 2024-10-25 20:45:20 |
| 9base-troff-6-r2.apk | 494.8 KiB | 2024-10-25 20:45:20 |
| abc-0_git20240102-r0.apk | 5.2 MiB | 2024-10-25 20:45:21 |
| ace-of-penguins-doc-1.4-r3.apk | 48.3 KiB | 2024-10-25 20:45:21 |
| ace-of-penguins-1.4-r3.apk | 187.2 KiB | 2024-10-25 20:45:21 |
| adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-10-25 20:45:22 |
| admesh-0.98.5-r0.apk | 28.5 KiB | 2024-10-25 20:45:22 |
| admesh-doc-0.98.5-r0.apk | 23.2 KiB | 2024-10-25 20:45:22 |
| adjtimex-1.29-r0.apk | 20.6 KiB | 2024-10-25 20:45:22 |
| admesh-dev-0.98.5-r0.apk | 3.8 KiB | 2024-10-25 20:45:22 |
| advancemame-3.9-r4.apk | 13.5 MiB | 2024-10-25 20:45:23 |
| advancescan-1.18-r1.apk | 274.4 KiB | 2024-10-25 20:45:24 |
| advancescan-doc-1.18-r1.apk | 7.0 KiB | 2024-10-25 20:45:24 |
| advancemame-doc-3.9-r4.apk | 373.3 KiB | 2024-10-25 20:45:24 |
| advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-10-25 20:45:24 |
| advancemame-mess-3.9-r4.apk | 3.9 MiB | 2024-10-25 20:45:24 |
| advancemame-menu-3.9-r4.apk | 935.5 KiB | 2024-10-25 20:45:24 |
| agrep-0.8.0-r2.apk | 8.3 KiB | 2024-10-25 20:45:26 |
| afetch-doc-2.2.0-r1.apk | 13.3 KiB | 2024-10-25 20:45:26 |
| agrep-doc-0.8.0-r2.apk | 3.9 KiB | 2024-10-25 20:45:26 |
| afetch-2.2.0-r1.apk | 9.3 KiB | 2024-10-25 20:45:26 |
| amiitool-2-r2.apk | 6.6 KiB | 2024-10-25 20:45:27 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9.4 KiB | 2024-10-25 20:45:27 |
| alttab-doc-1.7.1-r0.apk | 10.0 KiB | 2024-10-25 20:45:27 |
| amdgpu-fan-0.1.0-r5.apk | 13.8 KiB | 2024-10-25 20:45:27 |
| alttab-1.7.1-r0.apk | 38.8 KiB | 2024-10-25 20:45:27 |
| anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-10-25 20:45:28 |
| anari-sdk-dev-0.7.2-r0.apk | 58.3 KiB | 2024-10-25 20:45:28 |
| amule-2.3.3-r13.apk | 3.9 MiB | 2024-10-25 20:45:28 |
| amule-doc-2.3.3-r13.apk | 281.2 KiB | 2024-10-25 20:45:28 |
| anari-sdk-static-0.7.2-r0.apk | 193.1 KiB | 2024-10-25 20:45:28 |
| anarch-1.0-r1.apk | 105.5 KiB | 2024-10-25 20:45:28 |
| anari-sdk-0.7.2-r0.apk | 297.3 KiB | 2024-10-25 20:45:28 |
| amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-10-25 20:45:28 |
| android-apkeep-0.17.0-r0.apk | 1.9 MiB | 2024-10-25 20:45:28 |
| ansible-bender-0.10.1-r2.apk | 36.0 KiB | 2024-10-25 20:45:32 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 61.5 KiB | 2024-10-25 20:45:32 |
| ansible-bender-doc-0.10.1-r2.apk | 9.8 KiB | 2024-10-25 20:45:32 |
| ansible-bender-pyc-0.10.1-r2.apk | 64.7 KiB | 2024-10-25 20:45:32 |
| angband-4.2.5-r0.apk | 22.6 MiB | 2024-10-25 20:45:32 |
| apmpkg-zsh-completion-1.5.1-r3.apk | 2.2 KiB | 2024-10-25 20:45:33 |
| apmpkg-doc-1.5.1-r3.apk | 2.8 KiB | 2024-10-25 20:45:33 |
| apk-snap-doc-3.1.1-r0.apk | 19.7 KiB | 2024-10-25 20:45:33 |
| apmpkg-bash-completion-1.5.1-r3.apk | 1.9 KiB | 2024-10-25 20:45:33 |
| apmpkg-fish-completion-1.5.1-r3.apk | 1.8 KiB | 2024-10-25 20:45:33 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-10-25 20:45:33 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 8.5 KiB | 2024-10-25 20:45:33 |
| apache2-mod-realdoc-1-r1.apk | 4.9 KiB | 2024-10-25 20:45:33 |
| apmpkg-1.5.1-r3.apk | 1.7 MiB | 2024-10-25 20:45:33 |
| apk-snap-3.1.1-r0.apk | 6.4 KiB | 2024-10-25 20:45:33 |
| arc-darker-gtk4-20221218-r0.apk | 109.9 KiB | 2024-10-25 20:45:34 |
| arc-gtk3-20221218-r0.apk | 125.6 KiB | 2024-10-25 20:45:34 |
| arc-darker-xfwm-20221218-r0.apk | 7.6 KiB | 2024-10-25 20:45:34 |
| arc-gtk2-20221218-r0.apk | 37.3 KiB | 2024-10-25 20:45:34 |
| arc-dark-xfwm-20221218-r0.apk | 7.6 KiB | 2024-10-25 20:45:34 |
| arc-dark-gtk4-20221218-r0.apk | 86.0 KiB | 2024-10-25 20:45:34 |
| arc-cinnamon-20221218-r0.apk | 67.8 KiB | 2024-10-25 20:45:34 |
| aravis-libs-0.8.31-r0.apk | 186.8 KiB | 2024-10-25 20:45:34 |
| apt-dater-1.0.4-r4.apk | 58.3 KiB | 2024-10-25 20:45:34 |
| arc-gnome-20221218-r0.apk | 28.4 KiB | 2024-10-25 20:45:34 |
| arc-dark-cinnamon-20221218-r0.apk | 68.2 KiB | 2024-10-25 20:45:34 |
| arc-dark-metacity-20221218-r0.apk | 17.2 KiB | 2024-10-25 20:45:34 |
| arc-20221218-r0.apk | 1.5 KiB | 2024-10-25 20:45:34 |
| aravis-0.8.31-r0.apk | 49.3 KiB | 2024-10-25 20:45:34 |
| arc-dark-20221218-r0.apk | 1.5 KiB | 2024-10-25 20:45:34 |
| aravis-viewer-lang-0.8.31-r0.apk | 15.7 KiB | 2024-10-25 20:45:34 |
| apt-mirror-doc-0.5.4-r0.apk | 4.4 KiB | 2024-10-25 20:45:34 |
| arc-darker-gtk3-20221218-r0.apk | 123.5 KiB | 2024-10-25 20:45:34 |
| arc-gtk4-20221218-r0.apk | 113.3 KiB | 2024-10-25 20:45:34 |
| aravis-viewer-0.8.31-r0.apk | 68.2 KiB | 2024-10-25 20:45:34 |
| arc-darker-metacity-20221218-r0.apk | 17.2 KiB | 2024-10-25 20:45:34 |
| aqemu-doc-0.9.4-r3.apk | 7.3 KiB | 2024-10-25 20:45:34 |
| aqemu-0.9.4-r3.apk | 1.6 MiB | 2024-10-25 20:45:34 |
| arc-dark-gtk2-20221218-r0.apk | 38.1 KiB | 2024-10-25 20:45:34 |
| apt-dater-doc-1.0.4-r4.apk | 9.6 KiB | 2024-10-25 20:45:34 |
| arc-darker-gtk2-20221218-r0.apk | 38.2 KiB | 2024-10-25 20:45:34 |
| aravis-dev-0.8.31-r0.apk | 34.1 KiB | 2024-10-25 20:45:34 |
| arc-dark-gtk3-20221218-r0.apk | 93.0 KiB | 2024-10-25 20:45:34 |
| apt-mirror-0.5.4-r0.apk | 9.2 KiB | 2024-10-25 20:45:34 |
| arc-dark-gnome-20221218-r0.apk | 26.8 KiB | 2024-10-25 20:45:34 |
| arc-darker-20221218-r0.apk | 1.5 KiB | 2024-10-25 20:45:34 |
| apt-dater-lang-1.0.4-r4.apk | 12.9 KiB | 2024-10-25 20:45:34 |
| arc-lighter-metacity-20221218-r0.apk | 17.0 KiB | 2024-10-25 20:45:35 |
| arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-10-25 20:45:35 |
| arc-lighter-xfwm-20221218-r0.apk | 7.5 KiB | 2024-10-25 20:45:35 |
| arc-metacity-20221218-r0.apk | 17.0 KiB | 2024-10-25 20:45:35 |
| arc-lighter-gtk4-20221218-r0.apk | 112.6 KiB | 2024-10-25 20:45:35 |
| arc-theme-20221218-r0.apk | 1.2 KiB | 2024-10-25 20:45:35 |
| arc-lighter-20221218-r0.apk | 1.5 KiB | 2024-10-25 20:45:35 |
| arc-lighter-gtk2-20221218-r0.apk | 37.3 KiB | 2024-10-25 20:45:35 |
| arc-xfwm-20221218-r0.apk | 7.4 KiB | 2024-10-25 20:45:35 |
| arc-lighter-gtk3-20221218-r0.apk | 124.3 KiB | 2024-10-25 20:45:35 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 91.7 KiB | 2024-10-25 20:45:38 |
| atool-0.39.0-r4.apk | 17.5 KiB | 2024-10-25 20:45:38 |
| atlantik-3.5.10_git20240323-r0.apk | 395.2 KiB | 2024-10-25 20:45:38 |
| aspell-es-1.11-r0.apk | 533.0 KiB | 2024-10-25 20:45:38 |
| autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-10-25 20:45:38 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11.3 KiB | 2024-10-25 20:45:38 |
| autoremove-torrents-1.5.5-r0.apk | 35.2 KiB | 2024-10-25 20:45:38 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 68.4 KiB | 2024-10-25 20:45:38 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 79.0 KiB | 2024-10-25 20:45:38 |
| arj-doc-0_git20220125-r1.apk | 10.5 KiB | 2024-10-25 20:45:38 |
| atool-doc-0.39.0-r4.apk | 9.3 KiB | 2024-10-25 20:45:38 |
| armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-10-25 20:45:38 |
| aufs-util-doc-20161219-r3.apk | 33.6 KiB | 2024-10-25 20:45:38 |
| atomicparsley-20240608-r0.apk | 108.6 KiB | 2024-10-25 20:45:38 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 53.5 KiB | 2024-10-25 20:45:38 |
| aufs-util-dev-20161219-r3.apk | 1.2 KiB | 2024-10-25 20:45:38 |
| arj-0_git20220125-r1.apk | 132.1 KiB | 2024-10-25 20:45:38 |
| aufs-util-20161219-r3.apk | 205.6 KiB | 2024-10-25 20:45:38 |
| atool-bash-completion-0.39.0-r4.apk | 1.8 KiB | 2024-10-25 20:45:38 |
| autotrash-pyc-0.4.7-r0.apk | 13.8 KiB | 2024-10-25 20:45:39 |
| autotrash-0.4.7-r0.apk | 22.6 KiB | 2024-10-25 20:45:39 |
| avra-dev-1.4.2-r0.apk | 254.5 KiB | 2024-10-25 20:45:41 |
| avarice-2.14-r4.apk | 66.4 KiB | 2024-10-25 20:45:41 |
| avarice-doc-2.14-r4.apk | 9.2 KiB | 2024-10-25 20:45:41 |
| avra-1.4.2-r0.apk | 40.5 KiB | 2024-10-25 20:45:41 |
| beard-0.4-r0.apk | 3.1 KiB | 2024-10-25 20:45:42 |
| barnyard2-2.1.14_git20160413-r1.apk | 129.1 KiB | 2024-10-25 20:45:42 |
| bdfr-2.6.2-r1.apk | 130.7 KiB | 2024-10-25 20:45:42 |
| bchunk-doc-1.2.2-r3.apk | 2.7 KiB | 2024-10-25 20:45:42 |
| base64c-dev-0.2.1-r0.apk | 5.4 KiB | 2024-10-25 20:45:42 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 834.1 KiB | 2024-10-25 20:45:42 |
| bartib-1.0.1-r1.apk | 377.0 KiB | 2024-10-25 20:45:42 |
| base64c-0.2.1-r0.apk | 4.6 KiB | 2024-10-25 20:45:42 |
| bchunk-1.2.2-r3.apk | 7.6 KiB | 2024-10-25 20:45:42 |
| bakelite-0.4.2-r0.apk | 40.3 KiB | 2024-10-25 20:45:42 |
| bees-openrc-0.10-r2.apk | 1.7 KiB | 2024-10-25 20:45:42 |
| backup-manager-0.7.15-r1.apk | 55.1 KiB | 2024-10-25 20:45:42 |
| bees-0.10-r2.apk | 294.6 KiB | 2024-10-25 20:45:42 |
| batmon-0.0.1-r0.apk | 483.5 KiB | 2024-10-25 20:45:42 |
| barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.5 KiB | 2024-10-25 20:45:42 |
| beard-doc-0.4-r0.apk | 2.5 KiB | 2024-10-25 20:45:42 |
| berry-lang-1.1.0-r0.apk | 131.9 KiB | 2024-10-25 20:45:43 |
| bestline-0.0_git20211108-r0.apk | 22.4 KiB | 2024-10-25 20:45:43 |
| bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-10-25 20:45:43 |
| bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-10-25 20:45:44 |
| bgs-0.8-r1.apk | 5.6 KiB | 2024-10-25 20:45:45 |
| bgpq4-doc-1.15-r0.apk | 6.1 KiB | 2024-10-25 20:45:45 |
| bitlbee-facebook-1.2.2-r0.apk | 60.7 KiB | 2024-10-25 20:45:45 |
| bgpq4-1.15-r0.apk | 33.7 KiB | 2024-10-25 20:45:45 |
| bgs-doc-0.8-r1.apk | 2.0 KiB | 2024-10-25 20:45:45 |
| bitlbee-mastodon-1.4.5-r0.apk | 48.3 KiB | 2024-10-25 20:45:45 |
| bkt-0.8.0-r0.apk | 396.1 KiB | 2024-10-25 20:45:46 |
| blip-0.10-r0.apk | 15.3 KiB | 2024-10-25 20:45:46 |
| bkt-doc-0.8.0-r0.apk | 7.0 KiB | 2024-10-25 20:45:46 |
| boinc-gui-7.24.3-r0.apk | 1.0 MiB | 2024-10-25 20:45:46 |
| boinc-libs-7.24.3-r0.apk | 229.5 KiB | 2024-10-25 20:45:46 |
| bitritter-0.1.1-r0.apk | 2.2 MiB | 2024-10-25 20:45:46 |
| boinc-dev-7.24.3-r0.apk | 645.5 KiB | 2024-10-25 20:45:46 |
| boinc-lang-7.24.3-r0.apk | 876.7 KiB | 2024-10-25 20:45:46 |
| blackbox-1.20220610-r1.apk | 15.4 KiB | 2024-10-25 20:45:46 |
| boinc-doc-7.24.3-r0.apk | 7.7 KiB | 2024-10-25 20:45:46 |
| blip-doc-0.10-r0.apk | 30.5 KiB | 2024-10-25 20:45:46 |
| boinc-7.24.3-r0.apk | 1.6 MiB | 2024-10-25 20:45:46 |
| boinc-screensaver-7.24.3-r0.apk | 145.5 KiB | 2024-10-25 20:45:46 |
| bootterm-dbg-0.5-r0.apk | 2.0 KiB | 2024-10-25 20:45:47 |
| bonzomatic-20230615-r0.apk | 667.0 KiB | 2024-10-25 20:45:47 |
| bootterm-0.5-r0.apk | 20.1 KiB | 2024-10-25 20:45:47 |
| bootinfo-0.1.0-r4.apk | 19.2 KiB | 2024-10-25 20:45:47 |
| bootinfo-pyc-0.1.0-r4.apk | 8.0 KiB | 2024-10-25 20:45:47 |
| brial-dev-1.2.11-r4.apk | 1.7 MiB | 2024-10-25 20:45:48 |
| boson-0_git20211219-r0.apk | 18.5 KiB | 2024-10-25 20:45:48 |
| boxes-doc-2.3.1-r0.apk | 6.9 KiB | 2024-10-25 20:45:48 |
| brial-1.2.11-r4.apk | 1.0 MiB | 2024-10-25 20:45:48 |
| boxes-2.3.1-r0.apk | 85.4 KiB | 2024-10-25 20:45:48 |
| btpd-0.16-r2.apk | 87.9 KiB | 2024-10-25 20:45:49 |
| btfs-2.24-r12.apk | 32.1 KiB | 2024-10-25 20:45:49 |
| btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-10-25 20:45:49 |
| btfs-doc-2.24-r12.apk | 2.1 KiB | 2024-10-25 20:45:49 |
| bump2version-pyc-1.0.1-r6.apk | 28.9 KiB | 2024-10-25 20:45:51 |
| bump2version-1.0.1-r6.apk | 20.6 KiB | 2024-10-25 20:45:51 |
| buildcache-0.28.9-r0.apk | 898.3 KiB | 2024-10-25 20:45:51 |
| burp-doc-3.1.4-r0.apk | 99.1 KiB | 2024-10-25 20:45:52 |
| bwrap-oci-0.2-r1.apk | 15.9 KiB | 2024-10-25 20:45:52 |
| burp-3.1.4-r0.apk | 178.8 KiB | 2024-10-25 20:45:52 |
| caffeine-ng-lang-4.2.0-r1.apk | 34.1 KiB | 2024-10-25 20:45:52 |
| caffeine-ng-doc-4.2.0-r1.apk | 2.9 KiB | 2024-10-25 20:45:52 |
| burp-server-3.1.4-r0.apk | 36.4 KiB | 2024-10-25 20:45:52 |
| caffeine-ng-4.2.0-r1.apk | 100.1 KiB | 2024-10-25 20:45:52 |
| bwrap-oci-doc-0.2-r1.apk | 2.2 KiB | 2024-10-25 20:45:52 |
| caps2esc-0.3.2-r0.apk | 4.8 KiB | 2024-10-25 20:45:52 |
| cargo-run-bin-1.7.2-r0.apk | 482.2 KiB | 2024-10-25 20:45:53 |
| cargo-run-bin-doc-1.7.2-r0.apk | 4.8 KiB | 2024-10-25 20:45:53 |
| catdoc-0.95-r1.apk | 112.0 KiB | 2024-10-25 20:45:54 |
| castor-0.9.0-r2.apk | 744.9 KiB | 2024-10-25 20:45:54 |
| catdoc-doc-0.95-r1.apk | 9.0 KiB | 2024-10-25 20:45:54 |
| ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-10-25 20:45:55 |
| cddlib-dev-0.94m-r2.apk | 13.8 KiB | 2024-10-25 20:45:55 |
| ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-10-25 20:45:55 |
| ccrtp-2.1.2-r0.apk | 96.4 KiB | 2024-10-25 20:45:55 |
| cdba-1.0-r2.apk | 8.1 KiB | 2024-10-25 20:45:55 |
| cc65-2.19-r0.apk | 8.9 MiB | 2024-10-25 20:45:55 |
| cdist-pyc-7.0.0-r6.apk | 127.3 KiB | 2024-10-25 20:45:55 |
| cddlib-static-0.94m-r2.apk | 306.5 KiB | 2024-10-25 20:45:55 |
| cdist-7.0.0-r6.apk | 510.7 KiB | 2024-10-25 20:45:55 |
| ccze-0.2.1-r1.apk | 81.4 KiB | 2024-10-25 20:45:55 |
| cdba-server-1.0-r2.apk | 22.5 KiB | 2024-10-25 20:45:55 |
| cddlib-0.94m-r2.apk | 216.4 KiB | 2024-10-25 20:45:55 |
| ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-10-25 20:45:55 |
| ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-10-25 20:45:55 |
| cddlib-doc-0.94m-r2.apk | 863.5 KiB | 2024-10-25 20:45:55 |
| cddlib-tools-0.94m-r2.apk | 99.2 KiB | 2024-10-25 20:45:55 |
| cgiirc-0.5.12-r1.apk | 133.7 KiB | 2024-10-25 20:46:01 |
| cgo-0.6.1-r1.apk | 10.4 KiB | 2024-10-25 20:46:01 |
| cgo-doc-0.6.1-r1.apk | 3.9 KiB | 2024-10-25 20:46:01 |
| charls-2.4.2-r0.apk | 69.2 KiB | 2024-10-25 20:46:02 |
| charls-dev-2.4.2-r0.apk | 26.3 KiB | 2024-10-25 20:46:02 |
| checkpolicy-3.6-r0.apk | 369.4 KiB | 2024-10-25 20:46:02 |
| checkpolicy-doc-3.6-r0.apk | 3.9 KiB | 2024-10-25 20:46:02 |
| circuslinux-1.0.3-r1.apk | 20.4 KiB | 2024-10-25 20:46:09 |
| circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-10-25 20:46:09 |
| cimg-3.4.1-r0.apk | 825.7 KiB | 2024-10-25 20:46:09 |
| circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-10-25 20:46:09 |
| clipit-1.4.5-r3.apk | 67.0 KiB | 2024-10-25 20:46:10 |
| clinfo-3.0.23.01.25-r0.apk | 47.6 KiB | 2024-10-25 20:46:10 |
| clipit-doc-1.4.5-r3.apk | 2.1 KiB | 2024-10-25 20:46:10 |
| clevis-extra-pins-0_git20230629-r0.apk | 4.4 KiB | 2024-10-25 20:46:10 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6.2 KiB | 2024-10-25 20:46:10 |
| cluster-glue-1.0.12-r5.apk | 308.0 KiB | 2024-10-25 20:46:11 |
| cluster-glue-libs-1.0.12-r5.apk | 116.2 KiB | 2024-10-25 20:46:11 |
| cluster-glue-doc-1.0.12-r5.apk | 32.7 KiB | 2024-10-25 20:46:11 |
| cluster-glue-dev-1.0.12-r5.apk | 997.3 KiB | 2024-10-25 20:46:11 |
| colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-10-25 20:46:12 |
| coccinelle-1.1.1-r2.apk | 7.1 MiB | 2024-10-25 20:46:12 |
| coccinelle-doc-1.1.1-r2.apk | 15.7 KiB | 2024-10-25 20:46:12 |
| colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-10-25 20:46:12 |
| coccinelle-bash-completion-1.1.1-r2.apk | 2.6 KiB | 2024-10-25 20:46:12 |
| colorpicker-0_git20201128-r1.apk | 4.1 KiB | 2024-10-25 20:46:12 |
| commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-10-25 20:46:13 |
| commoncpp-7.0.1-r1.apk | 306.0 KiB | 2024-10-25 20:46:13 |
| commoncpp-tools-7.0.1-r1.apk | 62.3 KiB | 2024-10-25 20:46:13 |
| commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-10-25 20:46:13 |
| console_bridge-dev-1.0.2-r0.apk | 4.5 KiB | 2024-10-25 20:46:15 |
| console_bridge-1.0.2-r0.apk | 9.7 KiB | 2024-10-25 20:46:15 |
| crazydiskinfo-1.1.0-r1.apk | 34.5 KiB | 2024-10-25 20:46:16 |
| cpiped-0.1.0-r0.apk | 7.1 KiB | 2024-10-25 20:46:16 |
| cowsay-doc-3.04-r2.apk | 3.7 KiB | 2024-10-25 20:46:16 |
| cowsay-3.04-r2.apk | 18.1 KiB | 2024-10-25 20:46:16 |
| crossplane-0.5.8-r3.apk | 29.9 KiB | 2024-10-25 20:46:17 |
| crossplane-pyc-0.5.8-r3.apk | 39.0 KiB | 2024-10-25 20:46:18 |
| ctorrent-dnh-3.3.2-r2.apk | 88.1 KiB | 2024-10-25 20:46:23 |
| curlftpfs-0.9.2-r3.apk | 24.0 KiB | 2024-10-25 20:46:23 |
| csfml-doc-2.5.2-r0.apk | 203.7 KiB | 2024-10-25 20:46:23 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 7.1 KiB | 2024-10-25 20:46:23 |
| cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-10-25 20:46:23 |
| cvs-fast-export-tools-1.65-r0.apk | 8.4 KiB | 2024-10-25 20:46:23 |
| cutechess-cli-doc-1.3.1-r0.apk | 6.3 KiB | 2024-10-25 20:46:23 |
| cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2024-10-25 20:46:23 |
| cscope-15.9-r1.apk | 154.1 KiB | 2024-10-25 20:46:23 |
| cutechess-doc-1.3.1-r0.apk | 3.3 KiB | 2024-10-25 20:46:23 |
| csol-doc-1.6.0-r0.apk | 3.6 KiB | 2024-10-25 20:46:23 |
| cutechess-cli-1.3.1-r0.apk | 344.2 KiB | 2024-10-25 20:46:23 |
| csfml-dev-2.5.2-r0.apk | 76.9 KiB | 2024-10-25 20:46:23 |
| curlftpfs-doc-0.9.2-r3.apk | 5.9 KiB | 2024-10-25 20:46:23 |
| csfml-2.5.2-r0.apk | 101.3 KiB | 2024-10-25 20:46:23 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.0 KiB | 2024-10-25 20:46:23 |
| cvs-fast-export-1.65-r0.apk | 49.7 KiB | 2024-10-25 20:46:23 |
| daemontools-0.76-r3.apk | 109.3 KiB | 2024-10-25 20:46:23 |
| cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-10-25 20:46:23 |
| cvs-fast-export-doc-1.65-r0.apk | 17.2 KiB | 2024-10-25 20:46:23 |
| csmith-2.3.0-r2.apk | 320.2 KiB | 2024-10-25 20:46:23 |
| daemontools-openrc-0.76-r3.apk | 1.7 KiB | 2024-10-25 20:46:23 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 7.2 KiB | 2024-10-25 20:46:23 |
| csol-1.6.0-r0.apk | 40.0 KiB | 2024-10-25 20:46:23 |
| csmith-doc-2.3.0-r2.apk | 2.8 KiB | 2024-10-25 20:46:23 |
| daktilo-zsh-completion-0.6.0-r0.apk | 2.0 KiB | 2024-10-25 20:46:24 |
| dasht-doc-2.4.0-r0.apk | 11.1 KiB | 2024-10-25 20:46:24 |
| daktilo-0.6.0-r0.apk | 1.8 MiB | 2024-10-25 20:46:24 |
| daktilo-doc-0.6.0-r0.apk | 8.4 KiB | 2024-10-25 20:46:24 |
| dasht-2.4.0-r0.apk | 14.0 KiB | 2024-10-25 20:46:24 |
| daktilo-bash-completion-0.6.0-r0.apk | 1.9 KiB | 2024-10-25 20:46:24 |
| daktilo-fish-completion-0.6.0-r0.apk | 1.7 KiB | 2024-10-25 20:46:24 |
| dasht-zsh-completion-2.4.0-r0.apk | 1.8 KiB | 2024-10-25 20:46:24 |
| desed-1.2.1-r1.apk | 417.3 KiB | 2024-10-25 20:46:25 |
| ddgr-zsh-completion-2.2-r0.apk | 2.4 KiB | 2024-10-25 20:46:25 |
| dehydrated-0.7.1-r0.apk | 26.2 KiB | 2024-10-25 20:46:25 |
| debconf-doc-1.5.82-r0.apk | 26.5 KiB | 2024-10-25 20:46:25 |
| dcnnt-doc-0.10.0-r1.apk | 6.3 KiB | 2024-10-25 20:46:25 |
| debconf-bash-completion-1.5.82-r0.apk | 1.6 KiB | 2024-10-25 20:46:25 |
| dcnnt-pyc-0.10.0-r1.apk | 61.4 KiB | 2024-10-25 20:46:25 |
| ddgr-fish-completion-2.2-r0.apk | 2.0 KiB | 2024-10-25 20:46:25 |
| ddgr-2.2-r0.apk | 19.8 KiB | 2024-10-25 20:46:25 |
| ddgr-bash-completion-2.2-r0.apk | 2.0 KiB | 2024-10-25 20:46:25 |
| debconf-1.5.82-r0.apk | 69.1 KiB | 2024-10-25 20:46:25 |
| dcnnt-0.10.0-r1.apk | 27.5 KiB | 2024-10-25 20:46:25 |
| ddserver-0_git20200930-r1.apk | 12.7 KiB | 2024-10-25 20:46:25 |
| desed-doc-1.2.1-r1.apk | 2.6 KiB | 2024-10-25 20:46:25 |
| debconf-lang-1.5.82-r0.apk | 132.2 KiB | 2024-10-25 20:46:25 |
| debconf-utils-1.5.82-r0.apk | 6.4 KiB | 2024-10-25 20:46:25 |
| ddgr-doc-2.2-r0.apk | 11.3 KiB | 2024-10-25 20:46:25 |
| deadbeef-soxr-20180801-r0.apk | 6.6 KiB | 2024-10-25 20:46:25 |
| detox-doc-2.0.0-r0.apk | 20.5 KiB | 2024-10-25 20:46:26 |
| dewduct-0.2.3-r0.apk | 1.2 MiB | 2024-10-25 20:46:26 |
| detox-2.0.0-r0.apk | 109.9 KiB | 2024-10-25 20:46:26 |
| dfu-programmer-1.1.0-r0.apk | 34.5 KiB | 2024-10-25 20:46:26 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2.5 KiB | 2024-10-25 20:46:26 |
| dfu-programmer-doc-1.1.0-r0.apk | 5.5 KiB | 2024-10-25 20:46:26 |
| devil-1.8.0-r0.apk | 285.6 KiB | 2024-10-25 20:46:26 |
| devil-dev-1.8.0-r0.apk | 12.6 KiB | 2024-10-25 20:46:26 |
| disfetch-3.7-r0.apk | 8.0 KiB | 2024-10-25 20:46:27 |
| diskonaut-0.11.0-r3.apk | 473.1 KiB | 2024-10-25 20:46:27 |
| dlib-19.24.4-r0.apk | 790.0 KiB | 2024-10-25 20:46:29 |
| dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-10-25 20:46:29 |
| dnsperf-2.14.0-r0.apk | 71.0 KiB | 2024-10-25 20:46:31 |
| dnsperf-doc-2.14.0-r0.apk | 34.7 KiB | 2024-10-25 20:46:31 |
| dnsenum-1.3.2-r0.apk | 21.0 KiB | 2024-10-25 20:46:31 |
| dnsenum-doc-1.3.2-r0.apk | 5.0 KiB | 2024-10-25 20:46:31 |
| dnscrypt-wrapper-0.4.2-r3.apk | 29.5 KiB | 2024-10-25 20:46:31 |
| duc-doc-1.4.5-r0.apk | 8.8 KiB | 2024-10-25 20:46:37 |
| dublin-traceroute-0.4.2-r4.apk | 47.7 KiB | 2024-10-25 20:46:37 |
| dublin-traceroute-dev-0.4.2-r4.apk | 6.6 KiB | 2024-10-25 20:46:37 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2.6 KiB | 2024-10-25 20:46:37 |
| dulcepan-1.0.2-r0.apk | 21.0 KiB | 2024-10-25 20:46:37 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2.0 KiB | 2024-10-25 20:46:37 |
| drumgizmo-0.9.20-r1.apk | 414.4 KiB | 2024-10-25 20:46:37 |
| duc-1.4.5-r0.apk | 88.0 KiB | 2024-10-25 20:46:37 |
| dwl-doc-0.7-r0.apk | 2.9 KiB | 2024-10-25 20:46:38 |
| dvdbackup-0.4.2-r1.apk | 16.6 KiB | 2024-10-25 20:46:38 |
| dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-10-25 20:46:38 |
| eatmemory-0.1.6-r2.apk | 4.3 KiB | 2024-10-25 20:46:38 |
| dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-10-25 20:46:38 |
| dune-deps-1.3.0-r2.apk | 832.6 KiB | 2024-10-25 20:46:38 |
| dwl-0.7-r0.apk | 29.4 KiB | 2024-10-25 20:46:38 |
| dustracing2d-2.1.1-r1.apk | 5.2 MiB | 2024-10-25 20:46:38 |
| edward-1.1.0-r0.apk | 2.0 MiB | 2024-10-25 20:46:41 |
| ecos-2.0.10-r0.apk | 43.4 KiB | 2024-10-25 20:46:41 |
| edward-doc-1.1.0-r0.apk | 5.0 KiB | 2024-10-25 20:46:41 |
| ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-10-25 20:46:41 |
| elf_diff-pyc-0.7.1-r3.apk | 107.7 KiB | 2024-10-25 20:46:42 |
| emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk | 4.0 KiB | 2024-10-25 20:46:42 |
| emacs-hydra-0.15.0_git20220910-r0.apk | 45.7 KiB | 2024-10-25 20:46:42 |
| emacs-ace-window-0.10.0_git20220911-r0.apk | 22.5 KiB | 2024-10-25 20:46:42 |
| emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 5.8 KiB | 2024-10-25 20:46:42 |
| emacs-powerline-2.4_git20221110-r0.apk | 28.7 KiB | 2024-10-25 20:46:42 |
| emacs-fossil-0_git20230504-r0.apk | 14.4 KiB | 2024-10-25 20:46:42 |
| emacs-epkg-3.3.3_git20240713-r0.apk | 36.6 KiB | 2024-10-25 20:46:42 |
| emacs-persist-0.6_git20240114-r0.apk | 6.4 KiB | 2024-10-25 20:46:42 |
| emacs-sqlite3-api-0.18-r0.apk | 17.9 KiB | 2024-10-25 20:46:42 |
| emacs-gnosis-0.3.2-r0.apk | 61.9 KiB | 2024-10-25 20:46:42 |
| emacs-helm-3.9.7_git20240329-r0.apk | 814.9 KiB | 2024-10-25 20:46:42 |
| emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 18.1 KiB | 2024-10-25 20:46:42 |
| emacs-svg-lib-0_git20240219-r0.apk | 18.7 KiB | 2024-10-25 20:46:42 |
| emacs-centaur-tabs-3.2_git20230601-r0.apk | 54.8 KiB | 2024-10-25 20:46:42 |
| elf_diff-0.7.1-r3.apk | 108.0 KiB | 2024-10-25 20:46:42 |
| emacs-emacsql-3.1.1_git20240714-r0.apk | 22.3 KiB | 2024-10-25 20:46:42 |
| emacs-hnreader-0_git20221116-r0.apk | 9.5 KiB | 2024-10-25 20:46:42 |
| emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.6 KiB | 2024-10-25 20:46:42 |
| emacs-closql-1.2.1_git20240712-r0.apk | 14.1 KiB | 2024-10-25 20:46:42 |
| emacs-avy-0.5.0_git20230420-r0.apk | 43.2 KiB | 2024-10-25 20:46:42 |
| emacs-consult-1.4_git20240405-r0.apk | 137.5 KiB | 2024-10-25 20:46:42 |
| emacs-hackernews-0.7.0-r0.apk | 15.3 KiB | 2024-10-25 20:46:42 |
| empede-openrc-0.2.3-r0.apk | 1.7 KiB | 2024-10-25 20:46:43 |
| empede-doc-0.2.3-r0.apk | 2.0 KiB | 2024-10-25 20:46:43 |
| empede-0.2.3-r0.apk | 2.1 MiB | 2024-10-25 20:46:43 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-10-25 20:46:43 |
| emulationstation-2.11.2-r1.apk | 1.3 MiB | 2024-10-25 20:46:43 |
| ettercap-0.8.3.1-r3.apk | 610.8 KiB | 2024-10-25 20:47:06 |
| enlighten-0.9.2-r1.apk | 7.9 KiB | 2024-10-25 20:47:06 |
| ettercap-doc-0.8.3.1-r3.apk | 44.9 KiB | 2024-10-25 20:47:06 |
| epoch-1.3.0-r2.apk | 53.9 KiB | 2024-10-25 20:47:06 |
| epr-pyc-2.4.15-r1.apk | 24.2 KiB | 2024-10-25 20:47:06 |
| esptool-4.8.1-r0.apk | 423.8 KiB | 2024-10-25 20:47:06 |
| espeakup-openrc-0.90-r2.apk | 1.6 KiB | 2024-10-25 20:47:06 |
| espeakup-0.90-r2.apk | 12.0 KiB | 2024-10-25 20:47:06 |
| epr-2.4.15-r1.apk | 15.4 KiB | 2024-10-25 20:47:06 |
| enjoy-0.3-r1.apk | 12.2 KiB | 2024-10-25 20:47:06 |
| eva-0.3.1-r2.apk | 659.3 KiB | 2024-10-25 20:47:06 |
| enlighten-doc-0.9.2-r1.apk | 3.2 KiB | 2024-10-25 20:47:06 |
| esptool-pyc-4.8.1-r0.apk | 549.1 KiB | 2024-10-25 20:47:06 |
| envsubst-0.1-r1.apk | 5.1 KiB | 2024-10-25 20:47:06 |
| extrace-0.9-r0.apk | 11.4 KiB | 2024-10-25 20:47:07 |
| extrace-doc-0.9-r0.apk | 3.3 KiB | 2024-10-25 20:47:07 |
| fakeroot-tcp-1.32.1-r1.apk | 30.1 KiB | 2024-10-25 20:47:10 |
| extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-10-25 20:47:10 |
| extundelete-0.2.4-r1.apk | 40.4 KiB | 2024-10-25 20:47:10 |
| fabric-3.2.2-r1.apk | 54.4 KiB | 2024-10-25 20:47:10 |
| fatback-doc-1.3-r2.apk | 15.8 KiB | 2024-10-25 20:47:10 |
| fatback-1.3-r2.apk | 29.4 KiB | 2024-10-25 20:47:10 |
| fabric-pyc-3.2.2-r1.apk | 59.9 KiB | 2024-10-25 20:47:10 |
| extremetuxracer-doc-0.8.3-r0.apk | 6.4 KiB | 2024-10-25 20:47:10 |
| fatresize-1.1.0-r1.apk | 8.6 KiB | 2024-10-25 20:47:11 |
| faultstat-bash-completion-0.01.11-r0.apk | 2.0 KiB | 2024-10-25 20:47:11 |
| faultstat-0.01.11-r0.apk | 13.8 KiB | 2024-10-25 20:47:11 |
| fatresize-doc-1.1.0-r1.apk | 14.9 KiB | 2024-10-25 20:47:11 |
| faultstat-doc-0.01.11-r0.apk | 2.8 KiB | 2024-10-25 20:47:11 |
| fbcur-1.0.1-r1.apk | 6.6 KiB | 2024-10-25 20:47:13 |
| fava-1.28-r0.apk | 1.1 MiB | 2024-10-25 20:47:13 |
| fbcur-doc-1.0.1-r1.apk | 1.9 KiB | 2024-10-25 20:47:13 |
| fava-pyc-1.28-r0.apk | 163.8 KiB | 2024-10-25 20:47:13 |
| fff-2.2-r0.apk | 10.7 KiB | 2024-10-25 20:47:14 |
| fildesh-doc-0.2.0-r0.apk | 1.8 KiB | 2024-10-25 20:47:14 |
| ffsend-0.2.76-r4.apk | 1.6 MiB | 2024-10-25 20:47:14 |
| ffsend-fish-completion-0.2.76-r4.apk | 3.3 KiB | 2024-10-25 20:47:14 |
| ffsend-zsh-completion-0.2.76-r4.apk | 4.3 KiB | 2024-10-25 20:47:14 |
| ffsend-bash-completion-0.2.76-r4.apk | 3.4 KiB | 2024-10-25 20:47:14 |
| fildesh-vim-0.2.0-r0.apk | 3.3 KiB | 2024-10-25 20:47:14 |
| fflas-ffpack-2.5.0-r3.apk | 345.1 KiB | 2024-10-25 20:47:14 |
| fdm-materials-5.2.2-r1.apk | 59.4 KiB | 2024-10-25 20:47:14 |
| fildesh-0.2.0-r0.apk | 71.6 KiB | 2024-10-25 20:47:14 |
| fff-doc-2.2-r0.apk | 9.0 KiB | 2024-10-25 20:47:14 |
| findtow-0.1-r0.apk | 5.1 KiB | 2024-10-25 20:47:18 |
| firehol-openrc-3.1.7-r2.apk | 1.8 KiB | 2024-10-25 20:47:18 |
| finger-0.5-r0.apk | 8.7 KiB | 2024-10-25 20:47:18 |
| firehol-3.1.7-r2.apk | 84.5 KiB | 2024-10-25 20:47:18 |
| finger-doc-0.5-r0.apk | 3.5 KiB | 2024-10-25 20:47:18 |
| flauschige-uhr-0.1-r1.apk | 4.3 KiB | 2024-10-25 20:47:18 |
| flare-game-1.14-r0.apk | 1.9 KiB | 2024-10-25 20:47:18 |
| firehol-doc-3.1.7-r2.apk | 674.3 KiB | 2024-10-25 20:47:18 |
| foma-0.10.0_git20240712-r0.apk | 345.8 KiB | 2024-10-25 20:47:22 |
| fnf-doc-0.1-r0.apk | 4.3 KiB | 2024-10-25 20:47:22 |
| foma-dev-0.10.0_git20240712-r0.apk | 8.2 KiB | 2024-10-25 20:47:22 |
| font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-10-25 20:47:22 |
| fnf-0.1-r0.apk | 19.6 KiB | 2024-10-25 20:47:22 |
| font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-10-25 20:47:23 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 20:47:24 |
| font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-10-25 20:47:24 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 20:47:24 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-10-25 20:47:24 |
| font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-10-25 20:47:24 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 20:47:24 |
| font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-10-25 20:47:24 |
| font-chivo-mono-0_git20221110-r0.apk | 625.6 KiB | 2024-10-25 20:47:24 |
| font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-10-25 20:47:24 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 20:47:24 |
| font-chivo-0_git20221110-r0.apk | 792.1 KiB | 2024-10-25 20:47:24 |
| font-commit-mono-1.143-r0.apk | 251.0 KiB | 2024-10-25 20:47:24 |
| font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-10-25 20:47:24 |
| font-cousine-0_git20210228-r0.apk | 109.7 KiB | 2024-10-25 20:47:24 |
| font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-10-25 20:47:25 |
| font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-10-25 20:47:25 |
| font-intel-one-mono-1.3.0-r0.apk | 281.2 KiB | 2024-10-25 20:47:28 |
| font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-10-25 20:47:28 |
| font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-10-25 20:47:28 |
| font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-10-25 20:47:28 |
| font-monaspace-1.101-r0.apk | 1.2 KiB | 2024-10-25 20:47:28 |
| font-material-icons-4.0.0-r0.apk | 651.6 KiB | 2024-10-25 20:47:28 |
| font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-10-25 20:47:29 |
| font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-10-25 20:47:29 |
| font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-10-25 20:47:29 |
| font-stix-ttf-2.13-r0.apk | 429.8 KiB | 2024-10-25 20:47:29 |
| font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-10-25 20:47:29 |
| font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-10-25 20:47:29 |
| font-monocraft-4.0-r0.apk | 676.5 KiB | 2024-10-25 20:47:29 |
| font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-10-25 20:47:29 |
| font-tinos-0_git20210228-r0.apk | 198.4 KiB | 2024-10-25 20:47:30 |
| font-tiresias-0_git20200704-r0.apk | 568.0 KiB | 2024-10-25 20:47:30 |
| foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-10-25 20:47:30 |
| font-tiresias-doc-0_git20200704-r0.apk | 57.9 KiB | 2024-10-25 20:47:30 |
| foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-10-25 20:47:30 |
| foolsm-1.0.21-r0.apk | 34.1 KiB | 2024-10-25 20:47:30 |
| fpc-stage0-3.2.2-r3.apk | 6.8 MiB | 2024-10-25 20:47:31 |
| fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-10-25 20:47:33 |
| frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-10-25 20:47:33 |
| frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-10-25 20:47:33 |
| fpp-0.9.5-r0.apk | 29.3 KiB | 2024-10-25 20:47:33 |
| freealut-1.1.0-r1.apk | 19.0 KiB | 2024-10-25 20:47:33 |
| freediameter-extensions-1.5.0-r1.apk | 363.3 KiB | 2024-10-25 20:47:33 |
| freediameter-dev-1.5.0-r1.apk | 53.5 KiB | 2024-10-25 20:47:33 |
| freediameter-libfdcore-1.5.0-r1.apk | 149.2 KiB | 2024-10-25 20:47:33 |
| freediameter-libfdproto-1.5.0-r1.apk | 80.6 KiB | 2024-10-25 20:47:33 |
| freediameter-1.5.0-r1.apk | 9.0 KiB | 2024-10-25 20:47:33 |
| frescobaldi-doc-3.3.0-r1.apk | 2.2 KiB | 2024-10-25 20:47:33 |
| freealut-dev-1.1.0-r1.apk | 24.8 KiB | 2024-10-25 20:47:33 |
| fusesoc-pyc-2.3-r0.apk | 89.0 KiB | 2024-10-25 20:47:34 |
| fulcrum-1.9.8-r1.apk | 886.8 KiB | 2024-10-25 20:47:34 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-10-25 20:47:34 |
| fusee-nano-0.5.3-r1.apk | 21.0 KiB | 2024-10-25 20:47:34 |
| fusee-nano-udev-0.5.3-r1.apk | 1.4 KiB | 2024-10-25 20:47:34 |
| fulcrum-doc-1.9.8-r1.apk | 21.4 KiB | 2024-10-25 20:47:34 |
| fusesoc-2.3-r0.apk | 46.0 KiB | 2024-10-25 20:47:34 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-10-25 20:47:34 |
| fulcrum-admin-1.9.8-r1.apk | 7.7 KiB | 2024-10-25 20:47:34 |
| gammastep-pyc-2.0.9-r3.apk | 16.6 KiB | 2024-10-25 20:47:36 |
| gaupol-1.12-r2.apk | 275.9 KiB | 2024-10-25 20:47:36 |
| gatling-0.16-r6.apk | 149.4 KiB | 2024-10-25 20:47:36 |
| gaupol-doc-1.12-r2.apk | 2.1 KiB | 2024-10-25 20:47:36 |
| gammastep-lang-2.0.9-r3.apk | 77.3 KiB | 2024-10-25 20:47:36 |
| gatling-doc-0.16-r6.apk | 8.8 KiB | 2024-10-25 20:47:36 |
| gaupol-lang-1.12-r2.apk | 276.4 KiB | 2024-10-25 20:47:36 |
| gammastep-2.0.9-r3.apk | 90.3 KiB | 2024-10-25 20:47:36 |
| gammastep-doc-2.0.9-r3.apk | 13.8 KiB | 2024-10-25 20:47:36 |
| gatling-openrc-0.16-r6.apk | 2.5 KiB | 2024-10-25 20:47:36 |
| gaupol-pyc-1.12-r2.apk | 419.0 KiB | 2024-10-25 20:47:36 |
| genact-1.4.2-r0.apk | 1.4 MiB | 2024-10-25 20:47:37 |
| geomyidae-openrc-0.34-r2.apk | 1.7 KiB | 2024-10-25 20:47:38 |
| getssl-2.48-r0.apk | 81.9 KiB | 2024-10-25 20:47:38 |
| geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-10-25 20:47:38 |
| geomyidae-doc-0.34-r2.apk | 7.4 KiB | 2024-10-25 20:47:38 |
| geonames-doc-0.3.1-r2.apk | 12.3 KiB | 2024-10-25 20:47:38 |
| gfan-0.6.2-r1.apk | 1.8 MiB | 2024-10-25 20:47:38 |
| gf2x-1.3.0-r1.apk | 41.9 KiB | 2024-10-25 20:47:38 |
| geonames-dev-0.3.1-r2.apk | 2.8 KiB | 2024-10-25 20:47:38 |
| ghc-filesystem-1.5.14-r0.apk | 38.4 KiB | 2024-10-25 20:47:38 |
| geonames-0.3.1-r2.apk | 827.4 KiB | 2024-10-25 20:47:38 |
| geomyidae-0.34-r2.apk | 16.4 KiB | 2024-10-25 20:47:38 |
| gf2x-dev-1.3.0-r1.apk | 65.4 KiB | 2024-10-25 20:47:38 |
| ginger-pyc-2.4.0-r7.apk | 206.6 KiB | 2024-10-25 20:47:39 |
| ginger-2.4.0-r7.apk | 256.8 KiB | 2024-10-25 20:47:39 |
| gingerbase-pyc-2.3.0-r7.apk | 61.2 KiB | 2024-10-25 20:47:39 |
| gingerbase-lang-2.3.0-r7.apk | 52.6 KiB | 2024-10-25 20:47:39 |
| ginger-lang-2.4.0-r7.apk | 125.2 KiB | 2024-10-25 20:47:39 |
| gingerbase-2.3.0-r7.apk | 195.0 KiB | 2024-10-25 20:47:39 |
| git-secret-doc-0.5.0-r0.apk | 16.8 KiB | 2024-10-25 20:47:40 |
| glfw-wayland-dbg-3.3.8-r3.apk | 182.2 KiB | 2024-10-25 20:47:40 |
| git-revise-doc-0.7.0-r5.apk | 4.7 KiB | 2024-10-25 20:47:40 |
| git-revise-0.7.0-r5.apk | 24.0 KiB | 2024-10-25 20:47:40 |
| git2json-0.2.3-r8.apk | 7.2 KiB | 2024-10-25 20:47:40 |
| git-secret-0.5.0-r0.apk | 14.5 KiB | 2024-10-25 20:47:40 |
| git-revise-pyc-0.7.0-r5.apk | 41.9 KiB | 2024-10-25 20:47:40 |
| git2json-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-10-25 20:47:40 |
| glfw-wayland-dev-3.3.8-r3.apk | 45.4 KiB | 2024-10-25 20:47:40 |
| glfw-wayland-3.3.8-r3.apk | 64.5 KiB | 2024-10-25 20:47:40 |
| glmark2-2023.01-r1.apk | 8.0 MiB | 2024-10-25 20:47:41 |
| glmark2-doc-2023.01-r1.apk | 12.5 KiB | 2024-10-25 20:47:41 |
| gloox-1.0.28-r0.apk | 378.9 KiB | 2024-10-25 20:47:41 |
| gloox-dev-1.0.28-r0.apk | 950.1 KiB | 2024-10-25 20:47:42 |
| gnome-common-3.18.0-r3.apk | 11.3 KiB | 2024-10-25 20:47:58 |
| gnome-metronome-1.3.0-r0.apk | 472.1 KiB | 2024-10-25 20:47:58 |
| gnome-metronome-lang-1.3.0-r0.apk | 24.4 KiB | 2024-10-25 20:47:58 |
| goomwwm-1.0.0-r5.apk | 47.9 KiB | 2024-10-25 20:48:02 |
| goxel-0.15.1-r0.apk | 1.9 MiB | 2024-10-25 20:48:05 |
| grip-doc-4.2.4-r0.apk | 5.9 KiB | 2024-10-25 20:48:06 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.0 KiB | 2024-10-25 20:48:06 |
| grip-4.2.4-r0.apk | 383.2 KiB | 2024-10-25 20:48:06 |
| greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk | 1.9 KiB | 2024-10-25 20:48:06 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.6 KiB | 2024-10-25 20:48:06 |
| grip-lang-4.2.4-r0.apk | 144.2 KiB | 2024-10-25 20:48:06 |
| gtkwave-doc-3.3.120-r0.apk | 26.9 KiB | 2024-10-25 20:48:17 |
| gtkwave-3.3.120-r0.apk | 2.6 MiB | 2024-10-25 20:48:17 |
| h4h5tools-doc-2.2.5-r4.apk | 2.5 KiB | 2024-10-25 20:48:23 |
| h4h5tools-2.2.5-r4.apk | 102.3 KiB | 2024-10-25 20:48:23 |
| h4h5tools-static-2.2.5-r4.apk | 114.1 KiB | 2024-10-25 20:48:23 |
| h4h5tools-dev-2.2.5-r4.apk | 8.5 KiB | 2024-10-25 20:48:23 |
| halp-fish-completion-0.2.0-r0.apk | 1.7 KiB | 2024-10-25 20:48:24 |
| handlebars-dev-1.0.0-r1.apk | 32.1 KiB | 2024-10-25 20:48:24 |
| handlebars-utils-1.0.0-r1.apk | 9.7 KiB | 2024-10-25 20:48:24 |
| halp-0.2.0-r0.apk | 957.0 KiB | 2024-10-25 20:48:24 |
| halp-bash-completion-0.2.0-r0.apk | 1.9 KiB | 2024-10-25 20:48:24 |
| handlebars-1.0.0-r1.apk | 107.8 KiB | 2024-10-25 20:48:24 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 115.9 KiB | 2024-10-25 20:48:24 |
| halp-zsh-completion-0.2.0-r0.apk | 2.1 KiB | 2024-10-25 20:48:24 |
| halp-doc-0.2.0-r0.apk | 6.6 KiB | 2024-10-25 20:48:24 |
| hamster-time-tracker-3.0.3-r2.apk | 155.6 KiB | 2024-10-25 20:48:24 |
| habitctl-0.1.0-r2.apk | 346.7 KiB | 2024-10-25 20:48:24 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 357.9 KiB | 2024-10-25 20:48:24 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 1.7 KiB | 2024-10-25 20:48:24 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 205.4 KiB | 2024-10-25 20:48:24 |
| harminv-1.4.2-r1.apk | 8.1 KiB | 2024-10-25 20:48:25 |
| harminv-doc-1.4.2-r1.apk | 5.4 KiB | 2024-10-25 20:48:25 |
| harminv-dev-1.4.2-r1.apk | 2.9 KiB | 2024-10-25 20:48:25 |
| harminv-libs-1.4.2-r1.apk | 12.6 KiB | 2024-10-25 20:48:25 |
| hdf4-doc-4.2.15-r2.apk | 5.7 KiB | 2024-10-25 20:48:32 |
| hdf4-dev-4.2.15-r2.apk | 100.6 KiB | 2024-10-25 20:48:32 |
| hdf4-4.2.15-r2.apk | 253.9 KiB | 2024-10-25 20:48:32 |
| hddfancontrol-openrc-1.6.2-r0.apk | 1.9 KiB | 2024-10-25 20:48:32 |
| hatop-0.8.2-r0.apk | 18.0 KiB | 2024-10-25 20:48:32 |
| hddfancontrol-1.6.2-r0.apk | 32.6 KiB | 2024-10-25 20:48:32 |
| hashcat-6.2.6-r0.apk | 68.7 MiB | 2024-10-25 20:48:32 |
| hddfancontrol-pyc-1.6.2-r0.apk | 33.8 KiB | 2024-10-25 20:48:32 |
| hdf4-tools-4.2.15-r2.apk | 219.0 KiB | 2024-10-25 20:48:32 |
| hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-10-25 20:48:32 |
| hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-10-25 20:48:32 |
| hexedit-1.6_git20230905-r0.apk | 17.4 KiB | 2024-10-25 20:48:37 |
| helvum-0.5.1-r0.apk | 340.9 KiB | 2024-10-25 20:48:37 |
| hex-0.6.0-r0.apk | 323.9 KiB | 2024-10-25 20:48:37 |
| herbe-1.0.0-r0.apk | 6.0 KiB | 2024-10-25 20:48:37 |
| hexedit-doc-1.6_git20230905-r0.apk | 5.3 KiB | 2024-10-25 20:48:37 |
| hitide-openrc-0.15.0-r0.apk | 1.9 KiB | 2024-10-25 20:48:38 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 131.7 KiB | 2024-10-25 20:48:38 |
| hidrd-0.2.0_git20190603-r1.apk | 80.0 KiB | 2024-10-25 20:48:38 |
| hitide-0.15.0-r0.apk | 2.0 MiB | 2024-10-25 20:48:38 |
| hping3-doc-20051105-r4.apk | 16.9 KiB | 2024-10-25 20:48:39 |
| horizon-tools-0.9.6-r9.apk | 84.1 KiB | 2024-10-25 20:48:39 |
| horizon-dev-0.9.6-r9.apk | 4.6 KiB | 2024-10-25 20:48:39 |
| hping3-20051105-r4.apk | 68.9 KiB | 2024-10-25 20:48:39 |
| horizon-image-0.9.6-r9.apk | 69.1 KiB | 2024-10-25 20:48:39 |
| horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-10-25 20:48:39 |
| horizon-0.9.6-r9.apk | 211.2 KiB | 2024-10-25 20:48:39 |
| hstdb-2.1.0-r2.apk | 923.6 KiB | 2024-10-25 20:48:39 |
| hsetroot-1.0.5-r1.apk | 11.8 KiB | 2024-10-25 20:48:39 |
| horizon-doc-0.9.6-r9.apk | 21.2 KiB | 2024-10-25 20:48:39 |
| htmlcxx-0.87-r1.apk | 65.7 KiB | 2024-10-25 20:48:40 |
| httpie-oauth-1.0.2-r9.apk | 3.1 KiB | 2024-10-25 20:48:40 |
| httrack-3.49.2-r5.apk | 760.0 KiB | 2024-10-25 20:48:40 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2.0 KiB | 2024-10-25 20:48:40 |
| htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-10-25 20:48:40 |
| httrack-doc-3.49.2-r5.apk | 527.7 KiB | 2024-10-25 20:48:40 |
| hx-doc-1.0.15-r0.apk | 4.5 KiB | 2024-10-25 20:48:42 |
| hx-1.0.15-r0.apk | 15.4 KiB | 2024-10-25 20:48:42 |
| hwatch-0.3.11-r0.apk | 1.0 MiB | 2024-10-25 20:48:42 |
| hunspell-ca-es-3.0.7-r0.apk | 731.0 KiB | 2024-10-25 20:48:42 |
| hwatch-fish-completion-0.3.11-r0.apk | 1.6 KiB | 2024-10-25 20:48:42 |
| hwatch-zsh-completion-0.3.11-r0.apk | 1.7 KiB | 2024-10-25 20:48:42 |
| hwatch-doc-0.3.11-r0.apk | 2.8 KiB | 2024-10-25 20:48:42 |
| icesprog-0_git20240108-r1.apk | 9.3 KiB | 2024-10-25 20:48:43 |
| hypnotix-lang-3.5-r0.apk | 72.2 KiB | 2024-10-25 20:48:43 |
| icesprog-udev-0_git20240108-r1.apk | 1.6 KiB | 2024-10-25 20:48:43 |
| i2util-dev-4.2.1-r1.apk | 48.3 KiB | 2024-10-25 20:48:43 |
| hyx-doc-2024.02.29-r0.apk | 2.0 KiB | 2024-10-25 20:48:43 |
| hyx-2024.02.29-r0.apk | 18.1 KiB | 2024-10-25 20:48:43 |
| i2util-4.2.1-r1.apk | 23.4 KiB | 2024-10-25 20:48:43 |
| hypnotix-3.5-r0.apk | 109.8 KiB | 2024-10-25 20:48:43 |
| i2util-doc-4.2.1-r1.apk | 4.5 KiB | 2024-10-25 20:48:43 |
| icestorm-0_git20240517-r0.apk | 16.6 MiB | 2024-10-25 20:48:44 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 9.0 KiB | 2024-10-25 20:48:45 |
| idesk-1-r1.apk | 72.1 KiB | 2024-10-25 20:48:45 |
| innernet-openrc-1.6.1-r0.apk | 2.0 KiB | 2024-10-25 20:48:45 |
| imapfilter-2.8.2-r0.apk | 42.6 KiB | 2024-10-25 20:48:45 |
| imapfilter-doc-2.8.2-r0.apk | 12.6 KiB | 2024-10-25 20:48:45 |
| igrep-1.2.0-r0.apk | 1.7 MiB | 2024-10-25 20:48:45 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.6 KiB | 2024-10-25 20:48:45 |
| innernet-doc-1.6.1-r0.apk | 8.8 KiB | 2024-10-25 20:48:45 |
| innernet-fish-completion-1.6.1-r0.apk | 4.3 KiB | 2024-10-25 20:48:45 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.6 KiB | 2024-10-25 20:48:45 |
| initify-0_git20171210-r1.apk | 3.0 KiB | 2024-10-25 20:48:45 |
| innernet-bash-completion-1.6.1-r0.apk | 3.6 KiB | 2024-10-25 20:48:45 |
| innernet-1.6.1-r0.apk | 2.9 MiB | 2024-10-25 20:48:45 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.6 KiB | 2024-10-25 20:48:45 |
| imrsh-dbg-0_git20210320-r1.apk | 18.9 KiB | 2024-10-25 20:48:45 |
| imediff-doc-2.6-r1.apk | 6.3 KiB | 2024-10-25 20:48:45 |
| innernet-zsh-completion-1.6.1-r0.apk | 5.2 KiB | 2024-10-25 20:48:45 |
| imrsh-0_git20210320-r1.apk | 9.0 KiB | 2024-10-25 20:48:45 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.6 KiB | 2024-10-25 20:48:45 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6.3 KiB | 2024-10-25 20:48:45 |
| imediff-2.6-r1.apk | 41.3 KiB | 2024-10-25 20:48:45 |
| imediff-pyc-2.6-r1.apk | 43.4 KiB | 2024-10-25 20:48:45 |
| igrep-doc-1.2.0-r0.apk | 3.9 KiB | 2024-10-25 20:48:45 |
| it87-src-1_p20240609-r0.apk | 29.4 KiB | 2024-10-25 20:48:46 |
| iprange-1.0.4-r1.apk | 20.3 KiB | 2024-10-25 20:48:46 |
| irccd-doc-4.0.3-r0.apk | 80.1 KiB | 2024-10-25 20:48:46 |
| ip2location-doc-8.6.1-r0.apk | 2.5 KiB | 2024-10-25 20:48:46 |
| irccd-openrc-4.0.3-r0.apk | 1.6 KiB | 2024-10-25 20:48:46 |
| irccd-4.0.3-r0.apk | 279.8 KiB | 2024-10-25 20:48:46 |
| iprange-doc-1.0.4-r1.apk | 4.3 KiB | 2024-10-25 20:48:46 |
| isoinfo-0_git20131217-r1.apk | 6.7 KiB | 2024-10-25 20:48:46 |
| ip2location-dev-8.6.1-r0.apk | 13.0 KiB | 2024-10-25 20:48:46 |
| irccd-dev-4.0.3-r0.apk | 9.4 KiB | 2024-10-25 20:48:46 |
| ip2location-8.6.1-r0.apk | 26.5 KiB | 2024-10-25 20:48:46 |
| jack_capture-0.9.73_git20210429-r2.apk | 36.4 KiB | 2024-10-25 20:48:47 |
| jalv-gtk-1.6.8-r1.apk | 34.4 KiB | 2024-10-25 20:48:48 |
| jalv-1.6.8-r1.apk | 52.3 KiB | 2024-10-25 20:48:48 |
| jalv-doc-1.6.8-r1.apk | 2.9 KiB | 2024-10-25 20:48:48 |
| jbigkit-dev-2.1-r2.apk | 30.9 KiB | 2024-10-25 20:48:49 |
| java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-10-25 20:48:49 |
| jbigkit-doc-2.1-r2.apk | 7.1 KiB | 2024-10-25 20:48:49 |
| java-asmtools-doc-8.0.09-r0.apk | 6.6 KiB | 2024-10-25 20:48:49 |
| java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-10-25 20:48:49 |
| jbigkit-2.1-r2.apk | 66.1 KiB | 2024-10-25 20:48:49 |
| java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-10-25 20:48:49 |
| java-asmtools-8.0.09-r0.apk | 573.5 KiB | 2024-10-25 20:48:49 |
| jhead-doc-3.08-r0.apk | 7.6 KiB | 2024-10-25 20:48:50 |
| jdebp-redo-1.4-r1.apk | 98.8 KiB | 2024-10-25 20:48:50 |
| jhead-3.08-r0.apk | 33.0 KiB | 2024-10-25 20:48:50 |
| jdupes-doc-1.28.0-r0.apk | 8.7 KiB | 2024-10-25 20:48:50 |
| jdupes-1.28.0-r0.apk | 28.1 KiB | 2024-10-25 20:48:50 |
| jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-10-25 20:48:50 |
| json2tsv-1.2-r0.apk | 6.8 KiB | 2024-10-25 20:48:54 |
| jrsonnet-cli-0.4.2-r1.apk | 598.0 KiB | 2024-10-25 20:48:54 |
| json2tsv-jaq-1.2-r0.apk | 1.6 KiB | 2024-10-25 20:48:54 |
| json2tsv-jaq-doc-1.2-r0.apk | 2.1 KiB | 2024-10-25 20:48:54 |
| json2tsv-doc-1.2-r0.apk | 5.0 KiB | 2024-10-25 20:48:54 |
| jsmn-1.1.0-r2.apk | 4.5 KiB | 2024-10-25 20:48:54 |
| junit2html-31.0.2-r0.apk | 16.5 KiB | 2024-10-25 20:48:55 |
| junit2html-pyc-31.0.2-r0.apk | 23.4 KiB | 2024-10-25 20:48:55 |
| kabmat-2.7.0-r0.apk | 60.9 KiB | 2024-10-25 20:48:55 |
| kabmat-doc-2.7.0-r0.apk | 3.3 KiB | 2024-10-25 20:48:55 |
| keystone-0.9.2-r6.apk | 1.4 MiB | 2024-10-25 20:49:05 |
| keystone-python-0.9.2-r6.apk | 1.6 MiB | 2024-10-25 20:49:05 |
| keystone-dev-0.9.2-r6.apk | 7.0 KiB | 2024-10-25 20:49:05 |
| khronos-4.0.1-r0.apk | 55.5 KiB | 2024-10-25 20:49:05 |
| keystone-python-pyc-0.9.2-r6.apk | 9.4 KiB | 2024-10-25 20:49:05 |
| kfc-0.1.4-r0.apk | 57.6 KiB | 2024-10-25 20:49:05 |
| khronos-lang-4.0.1-r0.apk | 25.5 KiB | 2024-10-25 20:49:05 |
| kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-10-25 20:49:07 |
| kondo-0.8-r0.apk | 724.2 KiB | 2024-10-25 20:49:11 |
| kondo-bash-completion-0.8-r0.apk | 1.8 KiB | 2024-10-25 20:49:11 |
| kondo-fish-completion-0.8-r0.apk | 1.8 KiB | 2024-10-25 20:49:11 |
| kondo-zsh-completion-0.8-r0.apk | 2.2 KiB | 2024-10-25 20:49:11 |
| krita-plugin-gmic-3.2.4.1-r3.apk | 2.8 MiB | 2024-10-25 20:49:12 |
| kubesplit-pyc-0.3.3-r1.apk | 12.7 KiB | 2024-10-25 20:49:20 |
| kubesplit-0.3.3-r1.apk | 12.4 KiB | 2024-10-25 20:49:20 |
| ledmon-1.0.0-r0.apk | 83.9 KiB | 2024-10-25 20:49:21 |
| ledmon-doc-1.0.0-r0.apk | 13.8 KiB | 2024-10-25 20:49:21 |
| lfm-pyc-3.1-r4.apk | 133.5 KiB | 2024-10-25 20:49:21 |
| lfm-3.1-r4.apk | 87.9 KiB | 2024-10-25 20:49:21 |
| levmar-dev-2.6-r0.apk | 50.9 KiB | 2024-10-25 20:49:21 |
| lfm-doc-3.1-r4.apk | 2.5 KiB | 2024-10-25 20:49:21 |
| libbamf-dev-0.5.6-r1.apk | 6.2 KiB | 2024-10-25 20:49:22 |
| libcork-tools-0.15.0-r7.apk | 4.8 KiB | 2024-10-25 20:49:22 |
| libcyaml-dev-1.4.2-r0.apk | 12.6 KiB | 2024-10-25 20:49:22 |
| libbloom-dev-2.0-r0.apk | 3.2 KiB | 2024-10-25 20:49:22 |
| libbsoncxx-dev-3.8.0-r0.apk | 38.4 KiB | 2024-10-25 20:49:22 |
| libcorkipset-dev-1.1.1-r4.apk | 7.8 KiB | 2024-10-25 20:49:22 |
| libaudec-0.3.4-r3.apk | 28.2 KiB | 2024-10-25 20:49:22 |
| libb64-2.0.0.1-r0.apk | 4.6 KiB | 2024-10-25 20:49:22 |
| libctl-4.5.1-r1.apk | 99.8 KiB | 2024-10-25 20:49:22 |
| libaudec-static-0.3.4-r3.apk | 31.7 KiB | 2024-10-25 20:49:22 |
| libctl-dev-4.5.1-r1.apk | 38.3 KiB | 2024-10-25 20:49:22 |
| libaudec-dev-0.3.4-r3.apk | 4.0 KiB | 2024-10-25 20:49:22 |
| libcyaml-1.4.2-r0.apk | 21.7 KiB | 2024-10-25 20:49:22 |
| libcorkipset-tools-1.1.1-r4.apk | 16.2 KiB | 2024-10-25 20:49:22 |
| libbsoncxx-3.8.0-r0.apk | 45.5 KiB | 2024-10-25 20:49:22 |
| libb64-doc-2.0.0.1-r0.apk | 7.8 KiB | 2024-10-25 20:49:22 |
| libaudec-tools-0.3.4-r3.apk | 28.3 KiB | 2024-10-25 20:49:22 |
| libantlr3c-3.4-r3.apk | 60.4 KiB | 2024-10-25 20:49:22 |
| libantlr3c-dev-3.4-r3.apk | 58.1 KiB | 2024-10-25 20:49:22 |
| libcorkipset-1.1.1-r4.apk | 14.6 KiB | 2024-10-25 20:49:22 |
| libcork-0.15.0-r7.apk | 37.3 KiB | 2024-10-25 20:49:22 |
| libcork-dev-0.15.0-r7.apk | 29.7 KiB | 2024-10-25 20:49:22 |
| libcyaml-static-1.4.2-r0.apk | 25.0 KiB | 2024-10-25 20:49:22 |
| libb64-dev-2.0.0.1-r0.apk | 5.6 KiB | 2024-10-25 20:49:22 |
| libbamf-doc-0.5.6-r1.apk | 30.8 KiB | 2024-10-25 20:49:22 |
| libbamf-0.5.6-r1.apk | 159.9 KiB | 2024-10-25 20:49:22 |
| libcyaml-doc-1.4.2-r0.apk | 8.4 KiB | 2024-10-25 20:49:22 |
| libctl-doc-4.5.1-r1.apk | 2.8 KiB | 2024-10-25 20:49:22 |
| libbloom-2.0-r0.apk | 6.1 KiB | 2024-10-25 20:49:22 |
| libcli-1.10.7-r0.apk | 36.3 KiB | 2024-10-25 20:49:22 |
| libfishsound-dev-1.0.0-r1.apk | 56.7 KiB | 2024-10-25 20:49:23 |
| libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-10-25 20:49:23 |
| libfishsound-1.0.0-r1.apk | 10.7 KiB | 2024-10-25 20:49:23 |
| liberasurecode-1.6.3-r1.apk | 44.9 KiB | 2024-10-25 20:49:23 |
| libfoma-0.10.0_git20240712-r0.apk | 110.2 KiB | 2024-10-25 20:49:23 |
| libecap-dev-1.0.1-r1.apk | 11.2 KiB | 2024-10-25 20:49:23 |
| libfort-0.4.2-r0.apk | 31.6 KiB | 2024-10-25 20:49:23 |
| libecap-static-1.0.1-r1.apk | 18.0 KiB | 2024-10-25 20:49:23 |
| liberasurecode-dev-1.6.3-r1.apk | 18.0 KiB | 2024-10-25 20:49:23 |
| libettercap-0.8.3.1-r3.apk | 199.1 KiB | 2024-10-25 20:49:23 |
| libecap-1.0.1-r1.apk | 13.3 KiB | 2024-10-25 20:49:23 |
| libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-10-25 20:49:23 |
| libiio-tools-0.25-r2.apk | 100.4 KiB | 2024-10-25 20:49:24 |
| libgivaro-dev-4.2.0-r2.apk | 244.2 KiB | 2024-10-25 20:49:24 |
| libhwpwm-0.4.4-r0.apk | 5.7 KiB | 2024-10-25 20:49:24 |
| libhomfly-dev-1.02_p6-r1.apk | 23.3 KiB | 2024-10-25 20:49:24 |
| libjodycode-dev-3.1.1-r0.apk | 4.0 KiB | 2024-10-25 20:49:24 |
| libiio-pyc-0.25-r2.apk | 20.7 KiB | 2024-10-25 20:49:24 |
| libhwpwm-doc-0.4.4-r0.apk | 12.8 KiB | 2024-10-25 20:49:24 |
| libjodycode-3.1.1-r0.apk | 8.0 KiB | 2024-10-25 20:49:24 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 169.0 KiB | 2024-10-25 20:49:24 |
| libiio-0.25-r2.apk | 55.5 KiB | 2024-10-25 20:49:24 |
| libgivaro-static-4.2.0-r2.apk | 99.5 KiB | 2024-10-25 20:49:24 |
| libmdbx-0.11.8-r0.apk | 825.6 KiB | 2024-10-25 20:49:24 |
| libibumad-1.3.10.2-r3.apk | 15.4 KiB | 2024-10-25 20:49:24 |
| libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-10-25 20:49:24 |
| libiscsi-1.19.0-r2.apk | 62.3 KiB | 2024-10-25 20:49:24 |
| libgivaro-4.2.0-r2.apk | 78.7 KiB | 2024-10-25 20:49:24 |
| libibumad-doc-1.3.10.2-r3.apk | 22.9 KiB | 2024-10-25 20:49:24 |
| libhwpwm-dev-0.4.4-r0.apk | 5.8 KiB | 2024-10-25 20:49:24 |
| libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-10-25 20:49:24 |
| libiscsi-static-1.19.0-r2.apk | 78.2 KiB | 2024-10-25 20:49:24 |
| libmdbx-dbg-0.11.8-r0.apk | 2.4 MiB | 2024-10-25 20:49:24 |
| libiscsi-doc-1.19.0-r2.apk | 9.0 KiB | 2024-10-25 20:49:24 |
| libibumad-dev-1.3.10.2-r3.apk | 7.3 KiB | 2024-10-25 20:49:24 |
| libiio-doc-0.25-r2.apk | 17.6 KiB | 2024-10-25 20:49:24 |
| libiscsi-dev-1.19.0-r2.apk | 20.1 KiB | 2024-10-25 20:49:24 |
| libiscsi-utils-1.19.0-r2.apk | 95.3 KiB | 2024-10-25 20:49:24 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.4 KiB | 2024-10-25 20:49:24 |
| libjodycode-doc-3.1.1-r0.apk | 3.4 KiB | 2024-10-25 20:49:24 |
| libhomfly-1.02_p6-r1.apk | 19.3 KiB | 2024-10-25 20:49:24 |
| libiio-dev-0.25-r2.apk | 13.1 KiB | 2024-10-25 20:49:24 |
| libmpfi-static-1.5.4-r2.apk | 57.1 KiB | 2024-10-25 20:49:28 |
| libmpfi-dev-1.5.4-r2.apk | 5.1 KiB | 2024-10-25 20:49:28 |
| libmhash-dev-0.9.9.9-r3.apk | 112.7 KiB | 2024-10-25 20:49:28 |
| libmustache-0.5.0-r1.apk | 86.3 KiB | 2024-10-25 20:49:28 |
| libmysofa-1.3.2-r0.apk | 27.1 KiB | 2024-10-25 20:49:28 |
| libmhash-0.9.9.9-r3.apk | 99.1 KiB | 2024-10-25 20:49:28 |
| libneo4j-client-dev-2.2.0-r3.apk | 123.2 KiB | 2024-10-25 20:49:28 |
| libmygpo-qt-1.1.0-r2.apk | 90.3 KiB | 2024-10-25 20:49:28 |
| libmysofa-dev-1.3.2-r0.apk | 6.8 KiB | 2024-10-25 20:49:28 |
| libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-10-25 20:49:28 |
| libmrss-dev-0.19.2-r1.apk | 31.8 KiB | 2024-10-25 20:49:28 |
| libmygpo-qt-dev-1.1.0-r2.apk | 11.6 KiB | 2024-10-25 20:49:28 |
| libmpfi-1.5.4-r2.apk | 40.4 KiB | 2024-10-25 20:49:28 |
| libmpfi-doc-1.5.4-r2.apk | 18.5 KiB | 2024-10-25 20:49:28 |
| libmrss-0.19.2-r1.apk | 20.4 KiB | 2024-10-25 20:49:28 |
| libmhash-doc-0.9.9.9-r3.apk | 8.2 KiB | 2024-10-25 20:49:28 |
| libnih-1.0.3-r7.apk | 110.2 KiB | 2024-10-25 20:49:28 |
| libneo4j-client-2.2.0-r3.apk | 72.9 KiB | 2024-10-25 20:49:28 |
| libnxml-0.18.3-r0.apk | 20.6 KiB | 2024-10-25 20:49:29 |
| libofx-0.10.9-r1.apk | 62.7 KiB | 2024-10-25 20:49:29 |
| libnih-dev-1.0.3-r7.apk | 123.5 KiB | 2024-10-25 20:49:29 |
| libnxml-dev-0.18.3-r0.apk | 29.4 KiB | 2024-10-25 20:49:29 |
| liboggz-doc-1.1.1-r2.apk | 134.0 KiB | 2024-10-25 20:49:29 |
| libofx-tools-0.10.9-r1.apk | 105.5 KiB | 2024-10-25 20:49:29 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 45.1 KiB | 2024-10-25 20:49:29 |
| libretro-atari800-0_git20240924-r0.apk | 314.8 KiB | 2024-10-25 20:49:29 |
| liboggz-1.1.1-r2.apk | 128.6 KiB | 2024-10-25 20:49:29 |
| liboggz-dev-1.1.1-r2.apk | 170.3 KiB | 2024-10-25 20:49:29 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 366.0 KiB | 2024-10-25 20:49:29 |
| libnih-doc-1.0.3-r7.apk | 2.4 KiB | 2024-10-25 20:49:29 |
| libofx-dev-0.10.9-r1.apk | 19.3 KiB | 2024-10-25 20:49:29 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 493.6 KiB | 2024-10-25 20:49:29 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 504.5 KiB | 2024-10-25 20:49:30 |
| libretro-blastem-0_git20210810-r0.apk | 276.6 KiB | 2024-10-25 20:49:30 |
| libretro-bluemsx-0_git20240808-r0.apk | 711.7 KiB | 2024-10-25 20:49:30 |
| libretro-crocods-0_git20210314-r1.apk | 297.4 KiB | 2024-10-25 20:49:30 |
| libretro-cannonball-0_git20220309-r6.apk | 285.1 KiB | 2024-10-25 20:49:30 |
| libretro-dinothawr-0_git20220401-r0.apk | 149.9 KiB | 2024-10-25 20:49:30 |
| libretro-beetle-saturn-0_git20220417-r0.apk | 1.7 MiB | 2024-10-25 20:49:30 |
| libretro-cap32-0_git20220419-r0.apk | 314.2 KiB | 2024-10-25 20:49:30 |
| libretro-daphne-0_git20210108-r2.apk | 623.4 KiB | 2024-10-25 20:49:30 |
| libretro-fuse-0_git20220417-r0.apk | 1004.6 KiB | 2024-10-25 20:49:31 |
| libretro-frodo-0_git20221221-r0.apk | 170.6 KiB | 2024-10-25 20:49:31 |
| libretro-gong-0_git20220319-r0.apk | 9.0 KiB | 2024-10-25 20:49:31 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 767.4 KiB | 2024-10-25 20:49:31 |
| libretro-gw-0_git20220410-r0.apk | 217.9 KiB | 2024-10-25 20:49:31 |
| libretro-freeintv-0_git20220319-r0.apk | 40.3 KiB | 2024-10-25 20:49:31 |
| libretro-mame2000-0_git20240701-r0.apk | 2.9 MiB | 2024-10-25 20:49:31 |
| libretro-fbneo-0_git20220416-r0.apk | 13.1 MiB | 2024-10-25 20:49:31 |
| libretro-openlara-0_git20210121-r0.apk | 592.0 KiB | 2024-10-25 20:49:32 |
| libretro-nxengine-0_git20220301-r0.apk | 353.5 KiB | 2024-10-25 20:49:32 |
| libretro-pocketcdg-0_git20220327-r0.apk | 94.9 KiB | 2024-10-25 20:49:32 |
| libretro-mu-0_git20220317-r0.apk | 198.8 KiB | 2024-10-25 20:49:32 |
| libretro-pcsx-rearmed-0_git20220409-r0.apk | 575.0 KiB | 2024-10-25 20:49:32 |
| libretro-opera-0_git20211214-r0.apk | 201.3 KiB | 2024-10-25 20:49:32 |
| libretro-neocd-0_git20220325-r1.apk | 497.8 KiB | 2024-10-25 20:49:32 |
| libretro-mame2003-0_git20240904-r0.apk | 7.1 MiB | 2024-10-25 20:49:32 |
| libsigrokdecode-dev-0.5.3-r4.apk | 41.2 KiB | 2024-10-25 20:49:35 |
| libsbsms-2.3.0-r0.apk | 109.4 KiB | 2024-10-25 20:49:35 |
| libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-10-25 20:49:35 |
| libsigrokdecode-0.5.3-r4.apk | 336.5 KiB | 2024-10-25 20:49:35 |
| libretro-xrick-0_git20220331-r0.apk | 131.8 KiB | 2024-10-25 20:49:35 |
| libsbsms-dev-2.3.0-r0.apk | 134.4 KiB | 2024-10-25 20:49:35 |
| libretro-scummvm-0_git20210325-r0.apk | 22.2 MiB | 2024-10-25 20:49:35 |
| libserialport-0.1.1-r1.apk | 19.7 KiB | 2024-10-25 20:49:35 |
| libsemanage-dev-3.6-r1.apk | 157.7 KiB | 2024-10-25 20:49:35 |
| libsds-2.0.0-r1.apk | 10.2 KiB | 2024-10-25 20:49:35 |
| libretro-tyrquake-0_git20220409-r0.apk | 467.7 KiB | 2024-10-25 20:49:35 |
| libsemanage-doc-3.6-r1.apk | 22.7 KiB | 2024-10-25 20:49:35 |
| libsemanage-3.6-r1.apk | 96.7 KiB | 2024-10-25 20:49:35 |
| libsigrok-dev-0.5.2-r3.apk | 30.4 KiB | 2024-10-25 20:49:35 |
| libretro-theodore-3.1-r0.apk | 873.9 KiB | 2024-10-25 20:49:35 |
| libshadowsocks-libev-3.3.5-r4.apk | 48.4 KiB | 2024-10-25 20:49:35 |
| libserialport-dev-0.1.1-r1.apk | 40.1 KiB | 2024-10-25 20:49:35 |
| libsigrok-0.5.2-r3.apk | 498.3 KiB | 2024-10-25 20:49:35 |
| libretro-snes9x-0_git20240819-r0.apk | 722.4 KiB | 2024-10-25 20:49:35 |
| libuninameslist-20230916-r0.apk | 376.2 KiB | 2024-10-25 20:49:36 |
| libvdpau-va-gl-0.4.2-r0.apk | 59.9 KiB | 2024-10-25 20:49:36 |
| libvisio2svg-0.5.5-r3.apk | 16.3 KiB | 2024-10-25 20:49:36 |
| libucl-doc-0.9.0-r0.apk | 8.6 KiB | 2024-10-25 20:49:36 |
| libvisio2svg-dev-0.5.5-r3.apk | 2.7 KiB | 2024-10-25 20:49:36 |
| libupstart-2.0.3-r5.apk | 52.0 KiB | 2024-10-25 20:49:36 |
| libuninameslist-doc-20230916-r0.apk | 1.8 KiB | 2024-10-25 20:49:36 |
| libvisio2svg-utils-0.5.5-r3.apk | 127.2 KiB | 2024-10-25 20:49:36 |
| libtcmu-1.6.0-r6.apk | 38.4 KiB | 2024-10-25 20:49:36 |
| libtcmu-dev-1.6.0-r6.apk | 1.3 KiB | 2024-10-25 20:49:36 |
| libvmaf-3.0.0-r0.apk | 334.0 KiB | 2024-10-25 20:49:36 |
| libucl-0.9.0-r0.apk | 55.8 KiB | 2024-10-25 20:49:36 |
| libucl-dev-0.9.0-r0.apk | 86.6 KiB | 2024-10-25 20:49:36 |
| libvmaf-dev-3.0.0-r0.apk | 199.1 KiB | 2024-10-25 20:49:36 |
| libuninameslist-dev-20230916-r0.apk | 3.2 KiB | 2024-10-25 20:49:36 |
| licenseheaders-pyc-0.8.8-r4.apk | 18.2 KiB | 2024-10-25 20:49:40 |
| licenseheaders-0.8.8-r4.apk | 17.6 KiB | 2024-10-25 20:49:40 |
| libwmiclient-dev-1.3.16-r5.apk | 1.4 KiB | 2024-10-25 20:49:40 |
| libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-10-25 20:49:40 |
| libvoikko-dev-4.3.2-r1.apk | 9.7 KiB | 2024-10-25 20:49:40 |
| libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-10-25 20:49:40 |
| libvoikko-doc-4.3.2-r1.apk | 5.5 KiB | 2024-10-25 20:49:40 |
| libwbxml-0.11.8-r0.apk | 79.9 KiB | 2024-10-25 20:49:40 |
| limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-10-25 20:49:40 |
| limnoria-doc-20240828-r0.apk | 8.1 KiB | 2024-10-25 20:49:40 |
| libwmiclient-1.3.16-r5.apk | 1.2 KiB | 2024-10-25 20:49:40 |
| libvoikko-4.3.2-r1.apk | 135.7 KiB | 2024-10-25 20:49:40 |
| libwhich-1.2.0-r0.apk | 4.4 KiB | 2024-10-25 20:49:40 |
| limnoria-20240828-r0.apk | 1.1 MiB | 2024-10-25 20:49:40 |
| linphone-5.3.38-r0.apk | 9.0 MiB | 2024-10-25 20:49:42 |
| linux-apfs-rw-src-0.3.8-r0.apk | 196.8 KiB | 2024-10-25 20:49:42 |
| linphone-dev-5.3.38-r0.apk | 249.5 KiB | 2024-10-25 20:49:42 |
| linphone-libs-5.3.38-r0.apk | 3.1 MiB | 2024-10-25 20:49:42 |
| linux-timemachine-1.3.2-r0.apk | 4.8 KiB | 2024-10-25 20:49:42 |
| liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-10-25 20:49:46 |
| liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-10-25 20:49:46 |
| log4cpp-dev-1.1.4-r1.apk | 38.6 KiB | 2024-10-25 20:49:47 |
| logc-libevent-0.1.0-r0.apk | 3.4 KiB | 2024-10-25 20:49:47 |
| log4cpp-1.1.4-r1.apk | 71.9 KiB | 2024-10-25 20:49:47 |
| lolcat-1.4-r0.apk | 10.9 KiB | 2024-10-25 20:49:47 |
| logc-libs-dev-0.1.0-r0.apk | 5.6 KiB | 2024-10-25 20:49:47 |
| llmnrd-0.7-r1.apk | 18.2 KiB | 2024-10-25 20:49:47 |
| logc-libs-0.1.0-r0.apk | 1.2 KiB | 2024-10-25 20:49:47 |
| lockrun-1.1.3-r1.apk | 5.4 KiB | 2024-10-25 20:49:47 |
| lol-html-1.1.1-r1.apk | 472.2 KiB | 2024-10-25 20:49:47 |
| llmnrd-doc-0.7-r1.apk | 2.8 KiB | 2024-10-25 20:49:47 |
| llmnrd-openrc-0.7-r1.apk | 1.6 KiB | 2024-10-25 20:49:47 |
| lol-html-dev-1.1.1-r1.apk | 6.3 KiB | 2024-10-25 20:49:47 |
| logc-czmq-0.1.0-r0.apk | 4.1 KiB | 2024-10-25 20:49:47 |
| lua5.1-luastatic-0.0.12-r1.apk | 86.2 KiB | 2024-10-25 20:49:51 |
| lua5.2-linenoise-0.9-r1.apk | 18.5 KiB | 2024-10-25 20:49:51 |
| lua5.1-libmodbus-0.6.1-r0.apk | 11.1 KiB | 2024-10-25 20:49:51 |
| luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-10-25 20:49:51 |
| lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lrcalc-2.1-r1.apk | 12.8 KiB | 2024-10-25 20:49:51 |
| lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lua5.2-psl-0.3-r0.apk | 6.7 KiB | 2024-10-25 20:49:51 |
| lua5.4-linenoise-0.9-r1.apk | 18.5 KiB | 2024-10-25 20:49:51 |
| lua-lut-1.2.1-r0.apk | 89.9 KiB | 2024-10-25 20:49:51 |
| luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lua5.2-libmodbus-0.6.1-r0.apk | 11.1 KiB | 2024-10-25 20:49:51 |
| lua5.4-editorconfig-0.3.0-r0.apk | 5.0 KiB | 2024-10-25 20:49:51 |
| lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lua5.3-linenoise-0.9-r1.apk | 18.5 KiB | 2024-10-25 20:49:51 |
| luacov-0.15.0-r0.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-10-25 20:49:51 |
| lua5.1-luacov-0.15.0-r0.apk | 23.0 KiB | 2024-10-25 20:49:51 |
| lua-inet-0.2.0-r1.apk | 8.9 KiB | 2024-10-25 20:49:51 |
| lotide-0.15.0-r0.apk | 3.6 MiB | 2024-10-25 20:49:51 |
| lua5.2-xml-1.1.3-r2.apk | 23.4 KiB | 2024-10-25 20:49:51 |
| lua5.1-lcurses-9.0.0-r0.apk | 25.3 KiB | 2024-10-25 20:49:51 |
| lua5.1-xml-1.1.3-r2.apk | 23.7 KiB | 2024-10-25 20:49:51 |
| lout-doc-3.42.2-r0.apk | 452.3 KiB | 2024-10-25 20:49:51 |
| lua5.2-editorconfig-0.3.0-r0.apk | 4.9 KiB | 2024-10-25 20:49:51 |
| lua5.2-luastatic-0.0.12-r1.apk | 8.9 KiB | 2024-10-25 20:49:51 |
| lua-psl-0.3-r0.apk | 1.1 KiB | 2024-10-25 20:49:51 |
| luapak-0.1.0_beta5-r0.apk | 34.8 KiB | 2024-10-25 20:49:51 |
| lua-luastatic-0.0.12-r1.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lout-3.42.2-r0.apk | 1.4 MiB | 2024-10-25 20:49:51 |
| luksmeta-9-r0.apk | 14.9 KiB | 2024-10-25 20:49:51 |
| lua-xml-1.1.3-r2.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lsdvd-doc-0.17-r0.apk | 2.2 KiB | 2024-10-25 20:49:51 |
| luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-10-25 20:49:51 |
| lua5.1-psl-0.3-r0.apk | 6.8 KiB | 2024-10-25 20:49:51 |
| lua5.2-luacov-0.15.0-r0.apk | 23.0 KiB | 2024-10-25 20:49:51 |
| lua5.4-luacov-0.15.0-r0.apk | 23.0 KiB | 2024-10-25 20:49:51 |
| lua5.4-luastatic-0.0.12-r1.apk | 9.0 KiB | 2024-10-25 20:49:51 |
| lotide-openrc-0.15.0-r0.apk | 2.9 KiB | 2024-10-25 20:49:51 |
| lrcalc-libs-2.1-r1.apk | 29.6 KiB | 2024-10-25 20:49:51 |
| lumina-desktop-archiver-1.6.2-r0.apk | 166.3 KiB | 2024-10-25 20:49:51 |
| lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-10-25 20:49:51 |
| lua-resty-redis-0.29-r0.apk | 5.1 KiB | 2024-10-25 20:49:51 |
| lrcalc-dev-2.1-r1.apk | 11.1 KiB | 2024-10-25 20:49:51 |
| lua5.3-luastatic-0.0.12-r1.apk | 9.0 KiB | 2024-10-25 20:49:51 |
| lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-10-25 20:49:51 |
| lsdvd-0.17-r0.apk | 13.8 KiB | 2024-10-25 20:49:51 |
| lua5.3-psl-0.3-r0.apk | 6.7 KiB | 2024-10-25 20:49:51 |
| lua5.1-linenoise-0.9-r1.apk | 18.5 KiB | 2024-10-25 20:49:51 |
| lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-10-25 20:49:51 |
| lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-10-25 20:49:51 |
| lua5.3-luacov-0.15.0-r0.apk | 23.0 KiB | 2024-10-25 20:49:51 |
| lsix-1.8.2-r0.apk | 6.3 KiB | 2024-10-25 20:49:51 |
| lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-10-25 20:49:51 |
| lua5.3-editorconfig-0.3.0-r0.apk | 5.0 KiB | 2024-10-25 20:49:51 |
| lua-resty-upload-0.11-r0.apk | 3.4 KiB | 2024-10-25 20:49:51 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-10-25 20:49:51 |
| lua-lcurses-9.0.0-r0.apk | 1.1 KiB | 2024-10-25 20:49:51 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 825.2 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-fm-1.6.2-r0.apk | 393.5 KiB | 2024-10-25 20:49:52 |
| lxappearance-doc-0.6.3-r3.apk | 2.4 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 169.1 KiB | 2024-10-25 20:49:52 |
| lumins-0.4.0-r2.apk | 688.6 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-photo-1.6.2-r0.apk | 126.4 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-sudo-1.6.2-r0.apk | 96.7 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 159.9 KiB | 2024-10-25 20:49:52 |
| lxappearance-0.6.3-r3.apk | 30.0 KiB | 2024-10-25 20:49:52 |
| lxappearance-lang-0.6.3-r3.apk | 80.2 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-textedit-1.6.2-r0.apk | 194.0 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-core-1.6.2-r0.apk | 9.0 MiB | 2024-10-25 20:49:52 |
| lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-10-25 20:49:52 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 199.7 KiB | 2024-10-25 20:49:52 |
| lxappearance-dev-0.6.3-r3.apk | 3.0 KiB | 2024-10-25 20:49:52 |
| makeself-2.5.0-r0.apk | 12.7 KiB | 2024-10-25 20:50:05 |
| mame-0.251-r0.apk | 99.7 MiB | 2024-10-25 20:50:14 |
| mame-common-0.251-r0.apk | 2.4 KiB | 2024-10-25 20:50:21 |
| mame-arcade-0.251-r0.apk | 70.0 MiB | 2024-10-25 20:50:21 |
| mame-data-0.251-r0.apk | 19.1 MiB | 2024-10-25 20:50:22 |
| mame-lang-0.251-r0.apk | 1.4 MiB | 2024-10-25 20:50:22 |
| mame-doc-0.251-r0.apk | 23.8 KiB | 2024-10-25 20:50:22 |
| mame-mess-0.251-r0.apk | 54.3 MiB | 2024-10-25 20:50:27 |
| mame-plugins-0.251-r0.apk | 166.1 KiB | 2024-10-25 20:50:27 |
| mame-tools-0.251-r0.apk | 2.9 MiB | 2024-10-25 20:50:28 |
| mangr0ve-0.1.2-r0.apk | 2.5 KiB | 2024-10-25 20:50:28 |
| mangr0ve-doc-0.1.2-r0.apk | 14.2 KiB | 2024-10-25 20:50:29 |
| marxan-4.0.7-r1.apk | 610.5 KiB | 2024-10-25 20:50:30 |
| mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-10-25 20:50:34 |
| mbrola-3.3-r0.apk | 23.8 KiB | 2024-10-25 20:50:34 |
| mcjoin-2.11-r0.apk | 26.8 KiB | 2024-10-25 20:50:34 |
| mdnsd-0.12-r1.apk | 24.5 KiB | 2024-10-25 20:50:35 |
| mdnsd-static-0.12-r1.apk | 19.9 KiB | 2024-10-25 20:50:35 |
| mdnsd-openrc-0.12-r1.apk | 1.9 KiB | 2024-10-25 20:50:35 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 8.8 KiB | 2024-10-25 20:50:35 |
| mdbook-plantuml-0.8.0-r0.apk | 958.1 KiB | 2024-10-25 20:50:35 |
| mdnsd-doc-0.12-r1.apk | 14.1 KiB | 2024-10-25 20:50:35 |
| mdnsd-libs-0.12-r1.apk | 18.7 KiB | 2024-10-25 20:50:35 |
| memdump-doc-1.01-r1.apk | 2.9 KiB | 2024-10-25 20:50:35 |
| md5ha1-0_git20171202-r1.apk | 8.9 KiB | 2024-10-25 20:50:35 |
| memdump-1.01-r1.apk | 5.8 KiB | 2024-10-25 20:50:35 |
| menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-10-25 20:50:36 |
| metalang99-1.13.3-r0.apk | 54.0 KiB | 2024-10-25 20:50:39 |
| milkytracker-doc-1.04.00-r2.apk | 50.2 KiB | 2024-10-25 20:50:42 |
| milkytracker-1.04.00-r2.apk | 1017.5 KiB | 2024-10-25 20:50:42 |
| mimeo-2023-r2.apk | 27.9 KiB | 2024-10-25 20:50:42 |
| mimeo-pyc-2023-r2.apk | 41.3 KiB | 2024-10-25 20:50:42 |
| minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-10-25 20:50:48 |
| minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-10-25 20:50:48 |
| minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-10-25 20:50:48 |
| minisatip-openrc-1.3.4-r0.apk | 1.6 KiB | 2024-10-25 20:50:49 |
| minimodem-doc-0.24-r1.apk | 4.9 KiB | 2024-10-25 20:50:49 |
| minimodem-0.24-r1.apk | 21.4 KiB | 2024-10-25 20:50:49 |
| minisatip-1.3.4-r0.apk | 308.2 KiB | 2024-10-25 20:50:49 |
| mkdocs-gitbook-0.0.1-r5.apk | 643.9 KiB | 2024-10-25 20:51:00 |
| mkdocs-ivory-0.4.6-r5.apk | 10.7 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 259.8 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.1 KiB | 2024-10-25 20:51:00 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1.5 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 791.0 KiB | 2024-10-25 20:51:00 |
| mkg3a-0.5.0-r1.apk | 17.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-windmill-1.0.5-r4.apk | 943.8 KiB | 2024-10-25 20:51:00 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-10-25 20:51:00 |
| mlxl-0.1-r0.apk | 6.1 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4.5 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootswatch-1.1-r5.apk | 538.1 KiB | 2024-10-25 20:51:00 |
| mkdocs-cluster-0.0.9-r5.apk | 650.9 KiB | 2024-10-25 20:51:00 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-cinder-1.2.0-r5.apk | 248.4 KiB | 2024-10-25 20:51:00 |
| mm-1.4.2-r1.apk | 7.7 KiB | 2024-10-25 20:51:00 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1.5 KiB | 2024-10-25 20:51:00 |
| mkg3a-doc-0.5.0-r1.apk | 2.8 KiB | 2024-10-25 20:51:00 |
| mktorrent-borg-0.9.9-r1.apk | 11.0 KiB | 2024-10-25 20:51:00 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1.5 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.6 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.5 KiB | 2024-10-25 20:51:00 |
| mkdocs-bootstrap-1.1.1-r2.apk | 28.3 KiB | 2024-10-25 20:51:00 |
| mm-dev-1.4.2-r1.apk | 13.0 KiB | 2024-10-25 20:51:01 |
| mnamer-2.5.5-r1.apk | 31.5 KiB | 2024-10-25 20:51:01 |
| modem-manager-gui-0.0.20-r0.apk | 350.1 KiB | 2024-10-25 20:51:01 |
| mnamer-pyc-2.5.5-r1.apk | 60.2 KiB | 2024-10-25 20:51:01 |
| mobpass-0.2-r6.apk | 17.8 KiB | 2024-10-25 20:51:01 |
| mm-doc-1.4.2-r1.apk | 14.3 KiB | 2024-10-25 20:51:01 |
| mobpass-pyc-0.2-r6.apk | 5.0 KiB | 2024-10-25 20:51:01 |
| moe-1.14-r0.apk | 111.9 KiB | 2024-10-25 20:51:02 |
| modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-10-25 20:51:02 |
| modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-10-25 20:51:02 |
| moe-doc-1.14-r0.apk | 18.7 KiB | 2024-10-25 20:51:02 |
| monetdb-dev-11.33.11-r4.apk | 76.8 KiB | 2024-10-25 20:51:12 |
| mpdcron-0.3-r1.apk | 98.1 KiB | 2024-10-25 20:51:12 |
| monetdb-doc-11.33.11-r4.apk | 320.7 KiB | 2024-10-25 20:51:12 |
| moon-buggy-doc-1.0.51-r1.apk | 6.9 KiB | 2024-10-25 20:51:12 |
| moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-10-25 20:51:12 |
| monetdb-11.33.11-r4.apk | 2.4 MiB | 2024-10-25 20:51:12 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 88.6 KiB | 2024-10-25 20:51:12 |
| moon-buggy-1.0.51-r1.apk | 37.7 KiB | 2024-10-25 20:51:12 |
| mp3val-0.1.8-r1.apk | 13.6 KiB | 2024-10-25 20:51:12 |
| mongo-cxx-driver-3.8.0-r0.apk | 187.1 KiB | 2024-10-25 20:51:12 |
| mspdebug-doc-0.25-r1.apk | 14.0 KiB | 2024-10-25 20:51:13 |
| msgpuck-2.0-r1.apk | 1.2 KiB | 2024-10-25 20:51:13 |
| mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-10-25 20:51:13 |
| mpdcron-dev-0.3-r1.apk | 64.6 KiB | 2024-10-25 20:51:13 |
| mpdcron-doc-0.3-r1.apk | 13.1 KiB | 2024-10-25 20:51:13 |
| mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-10-25 20:51:13 |
| msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2024-10-25 20:51:13 |
| mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-10-25 20:51:13 |
| mspdebug-0.25-r1.apk | 219.8 KiB | 2024-10-25 20:51:13 |
| mrsh-0_git20210518-r1.apk | 5.5 KiB | 2024-10-25 20:51:13 |
| msgpuck-dev-2.0-r1.apk | 24.0 KiB | 2024-10-25 20:51:13 |
| mrsh-libs-0_git20210518-r1.apk | 63.8 KiB | 2024-10-25 20:51:13 |
| mpdcron-zsh-completion-0.3-r1.apk | 2.6 KiB | 2024-10-25 20:51:13 |
| mrsh-dbg-0_git20210518-r1.apk | 198.4 KiB | 2024-10-25 20:51:13 |
| mrsh-dev-0_git20210518-r1.apk | 9.7 KiB | 2024-10-25 20:51:13 |
| mxclient-0_git20211002-r1.apk | 77.7 KiB | 2024-10-25 20:51:15 |
| n30f-2.0-r3.apk | 7.1 KiB | 2024-10-25 20:51:16 |
| nano-hare-0_git20231021-r0.apk | 1.9 KiB | 2024-10-25 20:51:16 |
| ndpi-4.10-r0.apk | 1.4 MiB | 2024-10-25 20:51:17 |
| neo4j-client-2.2.0-r3.apk | 30.2 KiB | 2024-10-25 20:51:17 |
| neard-openrc-0.19-r0.apk | 1.4 KiB | 2024-10-25 20:51:17 |
| neard-doc-0.19-r0.apk | 5.3 KiB | 2024-10-25 20:51:17 |
| neo4j-client-doc-2.2.0-r3.apk | 5.4 KiB | 2024-10-25 20:51:17 |
| neard-dev-0.19-r0.apk | 10.8 KiB | 2024-10-25 20:51:17 |
| neard-0.19-r0.apk | 136.1 KiB | 2024-10-25 20:51:17 |
| ndpi-dev-4.10-r0.apk | 935.5 KiB | 2024-10-25 20:51:17 |
| nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-10-25 20:51:17 |
| nbsdgames-5-r0.apk | 143.9 KiB | 2024-10-25 20:51:17 |
| nextpnr-0.7-r0.apk | 1.2 KiB | 2024-10-25 20:51:18 |
| newsyslog-doc-1.2.0.91-r1.apk | 23.7 KiB | 2024-10-25 20:51:18 |
| newsyslog-1.2.0.91-r1.apk | 17.7 KiB | 2024-10-25 20:51:18 |
| nextpnr-generic-0.7-r0.apk | 795.4 KiB | 2024-10-25 20:51:20 |
| nextpnr-ecp5-0.7-r0.apk | 25.5 MiB | 2024-10-25 20:51:20 |
| nextpnr-gowin-0.7-r0.apk | 1.5 MiB | 2024-10-25 20:51:20 |
| nextpnr-ice40-0.7-r0.apk | 68.6 MiB | 2024-10-25 20:51:26 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk | 21.0 KiB | 2024-10-25 20:51:27 |
| ngs-aws-0.2.14-r0.apk | 32.7 KiB | 2024-10-25 20:51:27 |
| ngs-vim-0.2.14-r0.apk | 4.9 KiB | 2024-10-25 20:51:27 |
| nitro-2.7_beta8-r2.apk | 528.0 KiB | 2024-10-25 20:51:27 |
| ngs-0.2.14-r0.apk | 294.9 KiB | 2024-10-25 20:51:27 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk | 712.5 KiB | 2024-10-25 20:51:27 |
| nitro-dev-2.7_beta8-r2.apk | 189.7 KiB | 2024-10-25 20:51:27 |
| nkk-doc-0_git20221010-r0.apk | 6.7 KiB | 2024-10-25 20:51:28 |
| node-libpg-query-13.1.2-r5.apk | 18.8 KiB | 2024-10-25 20:51:28 |
| nkk-0_git20221010-r0.apk | 15.6 KiB | 2024-10-25 20:51:28 |
| noblenote-1.2.1-r1.apk | 403.0 KiB | 2024-10-25 20:51:28 |
| nkk-dev-0_git20221010-r0.apk | 2.6 KiB | 2024-10-25 20:51:28 |
| noice-doc-0.8-r1.apk | 3.1 KiB | 2024-10-25 20:51:29 |
| noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-10-25 20:51:29 |
| noice-0.8-r1.apk | 9.7 KiB | 2024-10-25 20:51:29 |
| noggin-model-0.1-r0.apk | 12.0 MiB | 2024-10-25 20:51:29 |
| nsh-dbg-0.4.2-r1.apk | 3.3 MiB | 2024-10-25 20:51:30 |
| nsnake-3.0.0-r0.apk | 9.9 KiB | 2024-10-25 20:51:30 |
| nsh-0.4.2-r1.apk | 646.4 KiB | 2024-10-25 20:51:30 |
| nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-10-25 20:51:30 |
| nuklear-doc-4.12.0-r0.apk | 42.2 KiB | 2024-10-25 20:51:32 |
| nuklear-4.12.0-r0.apk | 219.6 KiB | 2024-10-25 20:51:32 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.3 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.2 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.5 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 54.6 KiB | 2024-10-25 20:51:33 |
| nvimpager-0.12.0-r0.apk | 12.3 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.5 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.1 KiB | 2024-10-25 20:51:33 |
| nullmailer-2.2-r4.apk | 141.0 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 1.7 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.3 KiB | 2024-10-25 20:51:33 |
| nvimpager-zsh-completion-0.12.0-r0.apk | 1.6 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.5 KiB | 2024-10-25 20:51:33 |
| nvimpager-doc-0.12.0-r0.apk | 4.2 KiB | 2024-10-25 20:51:33 |
| nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 1.8 KiB | 2024-10-25 20:51:33 |
| nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 3.9 KiB | 2024-10-25 20:51:33 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.2 KiB | 2024-10-25 20:51:33 |
| objconv-2.52_git20210213-r2.apk | 276.1 KiB | 2024-10-25 20:51:34 |
| ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-10-25 20:51:39 |
| ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-10-25 20:51:39 |
| ocaml-charinfo_width-1.1.0-r3.apk | 106.0 KiB | 2024-10-25 20:51:40 |
| ocaml-charinfo_width-dev-1.1.0-r3.apk | 195.9 KiB | 2024-10-25 20:51:40 |
| ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-10-25 20:51:40 |
| ocaml-gettext-doc-0.4.2-r3.apk | 18.9 KiB | 2024-10-25 20:51:45 |
| ocaml-fileutils-0.6.4-r2.apk | 319.4 KiB | 2024-10-25 20:51:45 |
| ocaml-fileutils-dev-0.6.4-r2.apk | 614.5 KiB | 2024-10-25 20:51:45 |
| ocaml-fileutils-doc-0.6.4-r2.apk | 15.5 KiB | 2024-10-25 20:51:45 |
| ocaml-gettext-dev-0.4.2-r3.apk | 745.8 KiB | 2024-10-25 20:51:45 |
| ocaml-gettext-0.4.2-r3.apk | 3.6 MiB | 2024-10-25 20:51:45 |
| ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.5 KiB | 2024-10-25 20:51:51 |
| ocaml-libvirt-0.6.1.7-r0.apk | 177.2 KiB | 2024-10-25 20:51:51 |
| ocaml-lambda-term-3.2.0-r4.apk | 3.6 MiB | 2024-10-25 20:51:51 |
| ocaml-lambda-term-dev-3.2.0-r4.apk | 3.9 MiB | 2024-10-25 20:51:51 |
| ocaml-lambda-term-doc-3.2.0-r4.apk | 8.5 KiB | 2024-10-25 20:51:51 |
| ocaml-libvirt-dev-0.6.1.7-r0.apk | 108.0 KiB | 2024-10-25 20:51:51 |
| ocaml-mew-dev-0.1.0-r3.apk | 98.6 KiB | 2024-10-25 20:51:53 |
| ocaml-mew-0.1.0-r3.apk | 70.9 KiB | 2024-10-25 20:51:53 |
| ocaml-mew_vi-dev-0.5.0-r3.apk | 301.6 KiB | 2024-10-25 20:51:53 |
| ocaml-mew_vi-0.5.0-r3.apk | 186.9 KiB | 2024-10-25 20:51:53 |
| ocaml-obuild-0.1.11-r0.apk | 1.1 MiB | 2024-10-25 20:51:54 |
| ocaml-mqtt-0.2.2-r0.apk | 158.8 KiB | 2024-10-25 20:51:54 |
| ocaml-mqtt-dev-0.2.2-r0.apk | 265.6 KiB | 2024-10-25 20:51:54 |
| ocaml-omake-0.10.6-r0.apk | 1.7 MiB | 2024-10-25 20:51:55 |
| ocaml-omake-doc-0.10.6-r0.apk | 7.8 KiB | 2024-10-25 20:51:55 |
| ocaml-qtest-2.11.2-r3.apk | 350.9 KiB | 2024-10-25 20:52:00 |
| ocaml-ptmap-2.0.5-r3.apk | 54.5 KiB | 2024-10-25 20:52:00 |
| ocaml-qcheck-0.18.1-r3.apk | 681.4 KiB | 2024-10-25 20:52:00 |
| ocaml-ptmap-dev-2.0.5-r3.apk | 95.7 KiB | 2024-10-25 20:52:00 |
| ocaml-qtest-dev-2.11.2-r3.apk | 3.4 KiB | 2024-10-25 20:52:00 |
| ocaml-qcheck-dev-0.18.1-r3.apk | 1.4 MiB | 2024-10-25 20:52:00 |
| ocaml-sha-1.15.4-r0.apk | 74.2 KiB | 2024-10-25 20:52:05 |
| ocaml-sha-dev-1.15.4-r0.apk | 230.7 KiB | 2024-10-25 20:52:05 |
| ocaml-trie-1.0.0-r2.apk | 16.4 KiB | 2024-10-25 20:52:07 |
| ocaml-trie-dev-1.0.0-r2.apk | 25.6 KiB | 2024-10-25 20:52:07 |
| ocaml-utop-2.9.1-r4.apk | 349.0 KiB | 2024-10-25 20:52:08 |
| ocaml-utop-dev-2.9.1-r4.apk | 762.5 KiB | 2024-10-25 20:52:08 |
| ocaml-zed-3.1.0-r3.apk | 526.1 KiB | 2024-10-25 20:52:11 |
| ocaml-zed-dev-3.1.0-r3.apk | 1.2 MiB | 2024-10-25 20:52:11 |
| ocfs2-tools-doc-1.8.7-r4.apk | 69.1 KiB | 2024-10-25 20:52:13 |
| ocfs2-tools-1.8.7-r4.apk | 1.2 MiB | 2024-10-25 20:52:13 |
| ocfs2-tools-dev-1.8.7-r4.apk | 44.7 KiB | 2024-10-25 20:52:13 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.1 KiB | 2024-10-25 20:52:14 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4.5 KiB | 2024-10-25 20:52:14 |
| olsrd-doc-0.9.8-r3.apk | 24.8 KiB | 2024-10-25 20:52:15 |
| olsrd-0.9.8-r3.apk | 168.3 KiB | 2024-10-25 20:52:15 |
| olsrd-openrc-0.9.8-r3.apk | 1.6 KiB | 2024-10-25 20:52:15 |
| olsrd-plugins-0.9.8-r3.apk | 198.8 KiB | 2024-10-25 20:52:15 |
| openapi-tui-0.9.4-r1.apk | 4.1 MiB | 2024-10-25 20:52:17 |
| openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2024-10-25 20:52:18 |
| openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-10-25 20:52:18 |
| openscap-daemon-doc-0.1.10-r9.apk | 17.3 KiB | 2024-10-25 20:52:59 |
| openscap-daemon-pyc-0.1.10-r9.apk | 101.6 KiB | 2024-10-25 20:52:59 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3.0 KiB | 2024-10-25 20:52:59 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3.5 KiB | 2024-10-25 20:52:59 |
| openscap-daemon-0.1.10-r9.apk | 59.9 KiB | 2024-10-25 20:52:59 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.0 KiB | 2024-10-25 20:52:59 |
| openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-10-25 20:52:59 |
| openswitcher-0.5.0-r4.apk | 148.1 KiB | 2024-10-25 20:53:00 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 1.8 KiB | 2024-10-25 20:53:00 |
| openswitcher-proxy-0.5.0-r4.apk | 9.1 KiB | 2024-10-25 20:53:00 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.4 KiB | 2024-10-25 20:53:03 |
| opkg-libs-0.7.0-r0.apk | 82.7 KiB | 2024-10-25 20:53:03 |
| opkg-0.7.0-r0.apk | 10.3 KiB | 2024-10-25 20:53:03 |
| opkg-dev-0.7.0-r0.apk | 122.1 KiB | 2024-10-25 20:53:03 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.4 KiB | 2024-10-25 20:53:03 |
| opkg-utils-0.7.0-r0.apk | 24.9 KiB | 2024-10-25 20:53:03 |
| opkg-utils-doc-0.7.0-r0.apk | 3.4 KiB | 2024-10-25 20:53:03 |
| opkg-doc-0.7.0-r0.apk | 7.4 KiB | 2024-10-25 20:53:03 |
| opmsg-1.84-r1.apk | 294.0 KiB | 2024-10-25 20:53:03 |
| osmctools-0.9-r0.apk | 124.8 KiB | 2024-10-25 20:53:03 |
| otrs-doc-6.0.48-r2.apk | 794.9 KiB | 2024-10-25 20:53:06 |
| otrs-bash-completion-6.0.48-r2.apk | 2.1 KiB | 2024-10-25 20:53:06 |
| otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-10-25 20:53:06 |
| otrs-openrc-6.0.48-r2.apk | 1.6 KiB | 2024-10-25 20:53:06 |
| otrs-apache2-6.0.48-r2.apk | 3.8 KiB | 2024-10-25 20:53:06 |
| otrs-nginx-6.0.48-r2.apk | 1.5 KiB | 2024-10-25 20:53:06 |
| otrs-setup-6.0.48-r2.apk | 107.1 KiB | 2024-10-25 20:53:06 |
| otrs-fastcgi-6.0.48-r2.apk | 1.5 KiB | 2024-10-25 20:53:06 |
| otrs-6.0.48-r2.apk | 28.7 MiB | 2024-10-25 20:53:06 |
| ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 3.7 KiB | 2024-10-25 20:53:12 |
| ovpncc-0.1_rc1-r0.apk | 11.7 KiB | 2024-10-25 20:53:12 |
| ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.4 KiB | 2024-10-25 20:53:12 |
| ovpncc-doc-0.1_rc1-r0.apk | 6.3 KiB | 2024-10-25 20:53:12 |
| pacparser-dev-1.4.5-r1.apk | 3.3 KiB | 2024-10-25 20:53:15 |
| pam-krb5-4.11-r1.apk | 23.4 KiB | 2024-10-25 20:53:15 |
| pamtester-0.1.2-r4.apk | 9.2 KiB | 2024-10-25 20:53:15 |
| par-doc-1.53.0-r1.apk | 30.1 KiB | 2024-10-25 20:53:15 |
| p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-10-25 20:53:15 |
| p910nd-0.97-r2.apk | 8.8 KiB | 2024-10-25 20:53:15 |
| pantalaimon-0.10.5-r4.apk | 44.5 KiB | 2024-10-25 20:53:15 |
| par-1.53.0-r1.apk | 14.5 KiB | 2024-10-25 20:53:15 |
| pam-krb5-doc-4.11-r1.apk | 23.4 KiB | 2024-10-25 20:53:15 |
| pam_sqlite3-1.0.2-r2.apk | 8.8 KiB | 2024-10-25 20:53:15 |
| parcellite-lang-1.2.5-r0.apk | 48.5 KiB | 2024-10-25 20:53:15 |
| pantalaimon-ui-0.10.5-r4.apk | 1.5 KiB | 2024-10-25 20:53:15 |
| parcellite-1.2.5-r0.apk | 226.6 KiB | 2024-10-25 20:53:15 |
| parcellite-doc-1.2.5-r0.apk | 25.0 KiB | 2024-10-25 20:53:15 |
| pacparser-1.4.5-r1.apk | 729.2 KiB | 2024-10-25 20:53:15 |
| paperkey-1.6-r2.apk | 16.8 KiB | 2024-10-25 20:53:15 |
| oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-10-25 20:53:15 |
| pamtester-doc-0.1.2-r4.apk | 2.6 KiB | 2024-10-25 20:53:15 |
| pantalaimon-doc-0.10.5-r4.apk | 6.1 KiB | 2024-10-25 20:53:15 |
| pacparser-doc-1.4.5-r1.apk | 17.5 KiB | 2024-10-25 20:53:15 |
| pantalaimon-pyc-0.10.5-r4.apk | 82.7 KiB | 2024-10-25 20:53:15 |
| p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-10-25 20:53:15 |
| paperkey-doc-1.6-r2.apk | 4.2 KiB | 2024-10-25 20:53:15 |
| p0f-doc-3.09b-r3.apk | 25.0 KiB | 2024-10-25 20:53:15 |
| p0f-3.09b-r3.apk | 78.4 KiB | 2024-10-25 20:53:15 |
| pasystray-0.8.2-r0.apk | 47.4 KiB | 2024-10-25 20:53:16 |
| pash-2.3.0-r2.apk | 4.0 KiB | 2024-10-25 20:53:16 |
| pasystray-doc-0.8.2-r0.apk | 3.1 KiB | 2024-10-25 20:53:16 |
| peg-doc-0.1.18-r1.apk | 13.4 KiB | 2024-10-25 20:53:19 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16.0 KiB | 2024-10-25 20:53:19 |
| peervpn-0.044-r5.apk | 40.0 KiB | 2024-10-25 20:53:19 |
| peg-0.1.18-r1.apk | 41.8 KiB | 2024-10-25 20:53:19 |
| peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-10-25 20:53:19 |
| pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-10-25 20:53:19 |
| perl-class-c3-0.35-r1.apk | 9.2 KiB | 2024-10-25 20:53:20 |
| percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-10-25 20:53:20 |
| perl-database-async-doc-0.019-r0.apk | 28.8 KiB | 2024-10-25 20:53:20 |
| perl-crypt-random-seed-doc-0.03-r0.apk | 8.5 KiB | 2024-10-25 20:53:20 |
| perl-cgi-expand-doc-2.05-r4.apk | 5.9 KiB | 2024-10-25 20:53:20 |
| perl-adapter-async-doc-0.019-r0.apk | 16.5 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.1 KiB | 2024-10-25 20:53:20 |
| perl-daemon-control-doc-0.001010-r2.apk | 8.0 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.5 KiB | 2024-10-25 20:53:20 |
| perl-devel-confess-doc-0.009004-r0.apk | 6.4 KiB | 2024-10-25 20:53:20 |
| perl-devel-confess-0.009004-r0.apk | 11.2 KiB | 2024-10-25 20:53:20 |
| perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk | 14.8 KiB | 2024-10-25 20:53:20 |
| perl-class-c3-doc-0.35-r1.apk | 9.0 KiB | 2024-10-25 20:53:20 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 3.7 KiB | 2024-10-25 20:53:20 |
| perl-data-validate-domain-doc-0.15-r0.apk | 5.2 KiB | 2024-10-25 20:53:20 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.2 KiB | 2024-10-25 20:53:20 |
| perl-bytes-random-secure-0.29-r0.apk | 14.1 KiB | 2024-10-25 20:53:20 |
| perl-constant-generate-0.17-r5.apk | 8.5 KiB | 2024-10-25 20:53:20 |
| perl-archive-extract-0.88-r1.apk | 15.5 KiB | 2024-10-25 20:53:20 |
| perl-daemon-control-0.001010-r2.apk | 12.1 KiB | 2024-10-25 20:53:20 |
| perl-class-c3-componentised-1.001002-r2.apk | 5.3 KiB | 2024-10-25 20:53:20 |
| perl-constant-defer-doc-6-r5.apk | 6.7 KiB | 2024-10-25 20:53:20 |
| perl-anyevent-riperedis-0.48-r0.apk | 12.1 KiB | 2024-10-25 20:53:20 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5.1 KiB | 2024-10-25 20:53:20 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 4.8 KiB | 2024-10-25 20:53:20 |
| perl-class-accessor-grouped-0.10014-r2.apk | 11.8 KiB | 2024-10-25 20:53:20 |
| perl-data-validate-ip-doc-0.31-r1.apk | 5.6 KiB | 2024-10-25 20:53:20 |
| percona-toolkit-doc-3.5.4-r1.apk | 297.3 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4.3 KiB | 2024-10-25 20:53:20 |
| perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.4 KiB | 2024-10-25 20:53:20 |
| perl-bind-config-parser-0.01-r5.apk | 3.6 KiB | 2024-10-25 20:53:20 |
| perl-aliased-0.34-r4.apk | 5.4 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-backoff-0.010-r0.apk | 9.3 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.4 KiB | 2024-10-25 20:53:20 |
| perl-constant-generate-doc-0.17-r5.apk | 6.8 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.2 KiB | 2024-10-25 20:53:20 |
| perl-dancer-session-cookie-doc-0.30-r2.apk | 3.9 KiB | 2024-10-25 20:53:20 |
| perl-cache-lru-0.04-r0.apk | 2.7 KiB | 2024-10-25 20:53:20 |
| perl-database-async-0.019-r0.apk | 22.6 KiB | 2024-10-25 20:53:20 |
| perl-context-preserve-doc-0.03-r4.apk | 4.0 KiB | 2024-10-25 20:53:20 |
| perl-bytes-random-secure-doc-0.29-r0.apk | 11.9 KiB | 2024-10-25 20:53:20 |
| perl-archive-extract-doc-0.88-r1.apk | 6.6 KiB | 2024-10-25 20:53:20 |
| perl-anyevent-riperedis-doc-0.48-r0.apk | 10.0 KiB | 2024-10-25 20:53:20 |
| perl-color-ansi-util-doc-0.165-r0.apk | 4.9 KiB | 2024-10-25 20:53:20 |
| perl-cache-lru-doc-0.04-r0.apk | 3.0 KiB | 2024-10-25 20:53:20 |
| perl-crypt-random-seed-0.03-r0.apk | 11.0 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.0 KiB | 2024-10-25 20:53:20 |
| perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.5 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-c3-doc-0.11-r1.apk | 4.8 KiB | 2024-10-25 20:53:20 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7.3 KiB | 2024-10-25 20:53:20 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 13.7 KiB | 2024-10-25 20:53:20 |
| perl-context-preserve-0.03-r4.apk | 3.6 KiB | 2024-10-25 20:53:20 |
| perl-adapter-async-0.019-r0.apk | 7.9 KiB | 2024-10-25 20:53:20 |
| perl-data-validate-domain-0.15-r0.apk | 5.6 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-cron-0.10-r4.apk | 5.8 KiB | 2024-10-25 20:53:20 |
| perl-dancer-session-cookie-0.30-r2.apk | 5.2 KiB | 2024-10-25 20:53:20 |
| perl-crypt-saltedhash-0.09-r5.apk | 6.7 KiB | 2024-10-25 20:53:20 |
| perl-constant-defer-6-r5.apk | 7.1 KiB | 2024-10-25 20:53:20 |
| perl-css-object-0.2.0-r0.apk | 22.5 KiB | 2024-10-25 20:53:20 |
| perl-css-object-doc-0.2.0-r0.apk | 32.3 KiB | 2024-10-25 20:53:20 |
| perl-dbix-datasource-0.02-r5.apk | 4.0 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.6 KiB | 2024-10-25 20:53:20 |
| perl-aliased-doc-0.34-r4.apk | 5.4 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-c3-0.11-r1.apk | 5.4 KiB | 2024-10-25 20:53:20 |
| perl-dancer-plugin-dbic-0.2104-r5.apk | 4.7 KiB | 2024-10-25 20:53:20 |
| perl-dbix-introspector-0.001005-r4.apk | 7.8 KiB | 2024-10-25 20:53:20 |
| perl-data-validate-ip-0.31-r1.apk | 8.6 KiB | 2024-10-25 20:53:20 |
| perl-database-async-engine-postgresql-doc-1.005-r0.apk | 9.1 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-backoff-doc-0.010-r0.apk | 29.2 KiB | 2024-10-25 20:53:20 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 78.5 KiB | 2024-10-25 20:53:20 |
| perl-datetime-timezone-alias-0.06-r0.apk | 2.3 KiB | 2024-10-25 20:53:20 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6.1 KiB | 2024-10-25 20:53:20 |
| perl-cgi-expand-2.05-r4.apk | 6.6 KiB | 2024-10-25 20:53:20 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5.1 KiB | 2024-10-25 20:53:20 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8.2 KiB | 2024-10-25 20:53:20 |
| perl-color-ansi-util-0.165-r0.apk | 7.0 KiB | 2024-10-25 20:53:20 |
| perl-dbicx-sugar-0.0200-r5.apk | 5.6 KiB | 2024-10-25 20:53:20 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3.4 KiB | 2024-10-25 20:53:20 |
| perl-hash-ordered-0.014-r0.apk | 9.5 KiB | 2024-10-25 20:53:21 |
| perl-digest-bcrypt-1.212-r1.apk | 5.3 KiB | 2024-10-25 20:53:21 |
| perl-email-reply-1.204-r5.apk | 5.9 KiB | 2024-10-25 20:53:21 |
| perl-json-maybeutf8-doc-2.000-r0.apk | 3.3 KiB | 2024-10-25 20:53:21 |
| perl-glib-ex-objectbits-17-r0.apk | 14.8 KiB | 2024-10-25 20:53:21 |
| perl-future-http-0.17-r0.apk | 9.0 KiB | 2024-10-25 20:53:21 |
| perl-lib-abs-doc-0.95-r0.apk | 3.7 KiB | 2024-10-25 20:53:21 |
| perl-extutils-xsbuilder-0.28-r5.apk | 42.8 KiB | 2024-10-25 20:53:21 |
| perl-graphql-client-0.605-r0.apk | 6.9 KiB | 2024-10-25 20:53:21 |
| perl-getopt-tabular-doc-0.3-r4.apk | 16.5 KiB | 2024-10-25 20:53:21 |
| perl-log-message-simple-doc-0.10-r3.apk | 3.7 KiB | 2024-10-25 20:53:21 |
| perl-lib-abs-0.95-r0.apk | 3.6 KiB | 2024-10-25 20:53:21 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 20.9 KiB | 2024-10-25 20:53:21 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 4.9 KiB | 2024-10-25 20:53:21 |
| perl-gearman-2.004.015-r3.apk | 27.2 KiB | 2024-10-25 20:53:21 |
| perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.0 KiB | 2024-10-25 20:53:21 |
| perl-i18n-langinfo-wide-9-r4.apk | 4.0 KiB | 2024-10-25 20:53:21 |
| perl-feed-find-doc-0.13-r0.apk | 3.5 KiB | 2024-10-25 20:53:21 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 3.7 KiB | 2024-10-25 20:53:21 |
| perl-http-thin-0.006-r0.apk | 2.8 KiB | 2024-10-25 20:53:21 |
| perl-io-sessiondata-1.03-r3.apk | 5.5 KiB | 2024-10-25 20:53:21 |
| perl-future-q-doc-0.120-r0.apk | 8.9 KiB | 2024-10-25 20:53:21 |
| perl-email-reply-doc-1.204-r5.apk | 4.5 KiB | 2024-10-25 20:53:21 |
| perl-graphql-client-cli-0.605-r0.apk | 7.6 KiB | 2024-10-25 20:53:21 |
| perl-gearman-doc-2.004.015-r3.apk | 19.5 KiB | 2024-10-25 20:53:21 |
| perl-future-http-doc-0.17-r0.apk | 15.4 KiB | 2024-10-25 20:53:21 |
| perl-email-abstract-3.010-r0.apk | 7.4 KiB | 2024-10-25 20:53:21 |
| perl-email-abstract-doc-3.010-r0.apk | 12.6 KiB | 2024-10-25 20:53:21 |
| perl-gtk2-ex-widgetbits-48-r3.apk | 65.5 KiB | 2024-10-25 20:53:21 |
| perl-io-lambda-1.34-r0.apk | 75.2 KiB | 2024-10-25 20:53:21 |
| perl-list-binarysearch-0.25-r0.apk | 9.7 KiB | 2024-10-25 20:53:21 |
| perl-ffi-c-0.15-r0.apk | 19.6 KiB | 2024-10-25 20:53:21 |
| perl-hash-ordered-doc-0.014-r0.apk | 19.0 KiB | 2024-10-25 20:53:21 |
| perl-http-thin-doc-0.006-r0.apk | 3.2 KiB | 2024-10-25 20:53:21 |
| perl-json-maybeutf8-2.000-r0.apk | 2.9 KiB | 2024-10-25 20:53:21 |
| perl-full-doc-1.004-r0.apk | 10.0 KiB | 2024-10-25 20:53:21 |
| perl-glib-ex-objectbits-doc-17-r0.apk | 22.1 KiB | 2024-10-25 20:53:21 |
| perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.5 KiB | 2024-10-25 20:53:21 |
| perl-future-queue-doc-0.52-r0.apk | 4.0 KiB | 2024-10-25 20:53:21 |
| perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.0 KiB | 2024-10-25 20:53:21 |
| perl-future-q-0.120-r0.apk | 9.3 KiB | 2024-10-25 20:53:21 |
| perl-log-fu-0.31-r4.apk | 10.2 KiB | 2024-10-25 20:53:21 |
| perl-html-tableextract-doc-2.15-r4.apk | 9.7 KiB | 2024-10-25 20:53:21 |
| perl-file-rename-2.02-r0.apk | 7.3 KiB | 2024-10-25 20:53:21 |
| perl-ffi-c-doc-0.15-r0.apk | 28.3 KiB | 2024-10-25 20:53:21 |
| perl-full-1.004-r0.apk | 6.9 KiB | 2024-10-25 20:53:21 |
| perl-log-fu-doc-0.31-r4.apk | 7.0 KiB | 2024-10-25 20:53:21 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 4.9 KiB | 2024-10-25 20:53:21 |
| perl-git-version-compare-doc-1.005-r0.apk | 4.6 KiB | 2024-10-25 20:53:21 |
| perl-feed-find-0.13-r0.apk | 3.6 KiB | 2024-10-25 20:53:21 |
| perl-git-version-compare-1.005-r0.apk | 5.1 KiB | 2024-10-25 20:53:21 |
| perl-future-queue-0.52-r0.apk | 3.8 KiB | 2024-10-25 20:53:21 |
| perl-log-message-0.08-r3.apk | 10.3 KiB | 2024-10-25 20:53:21 |
| perl-html-tableextract-2.15-r4.apk | 17.4 KiB | 2024-10-25 20:53:21 |
| perl-getopt-tabular-0.3-r4.apk | 23.0 KiB | 2024-10-25 20:53:21 |
| perl-git-repository-doc-1.325-r0.apk | 31.2 KiB | 2024-10-25 20:53:21 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 4.9 KiB | 2024-10-25 20:53:21 |
| perl-html-selector-xpath-0.28-r0.apk | 6.0 KiB | 2024-10-25 20:53:21 |
| perl-list-binarysearch-doc-0.25-r0.apk | 11.3 KiB | 2024-10-25 20:53:21 |
| perl-file-rename-doc-2.02-r0.apk | 11.8 KiB | 2024-10-25 20:53:21 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 3.8 KiB | 2024-10-25 20:53:21 |
| perl-graphql-client-doc-0.605-r0.apk | 13.7 KiB | 2024-10-25 20:53:21 |
| perl-log-message-simple-0.10-r3.apk | 4.0 KiB | 2024-10-25 20:53:21 |
| perl-git-repository-1.325-r0.apk | 16.1 KiB | 2024-10-25 20:53:21 |
| perl-email-mime-attachment-stripper-doc-1.317-r5.apk | 3.6 KiB | 2024-10-25 20:53:21 |
| perl-io-lambda-doc-1.34-r0.apk | 67.7 KiB | 2024-10-25 20:53:21 |
| perl-log-message-doc-0.08-r3.apk | 11.9 KiB | 2024-10-25 20:53:21 |
| perl-html-selector-xpath-doc-0.28-r0.apk | 3.8 KiB | 2024-10-25 20:53:21 |
| perl-opentracing-doc-1.006-r0.apk | 32.5 KiB | 2024-10-25 20:53:22 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 2.8 KiB | 2024-10-25 20:53:22 |
| perl-net-irr-0.10-r0.apk | 5.2 KiB | 2024-10-25 20:53:22 |
| perl-openapi-client-doc-1.07-r0.apk | 7.1 KiB | 2024-10-25 20:53:22 |
| perl-minion-backend-redis-doc-0.003-r0.apk | 6.3 KiB | 2024-10-25 20:53:22 |
| perl-path-iter-0.2-r3.apk | 5.0 KiB | 2024-10-25 20:53:22 |
| perl-net-irr-doc-0.10-r0.apk | 4.9 KiB | 2024-10-25 20:53:22 |
| perl-mojo-sqlite-3.009-r0.apk | 15.7 KiB | 2024-10-25 20:53:22 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 2.7 KiB | 2024-10-25 20:53:22 |
| perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.2 KiB | 2024-10-25 20:53:22 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 4.6 KiB | 2024-10-25 20:53:22 |
| perl-number-format-1.76-r1.apk | 15.0 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-io-async-0.28-r0.apk | 2.7 KiB | 2024-10-25 20:53:22 |
| perl-mojo-reactor-ioasync-1.002-r0.apk | 4.5 KiB | 2024-10-25 20:53:22 |
| perl-openapi-client-1.07-r0.apk | 8.4 KiB | 2024-10-25 20:53:22 |
| perl-mastodon-client-0.017-r0.apk | 21.8 KiB | 2024-10-25 20:53:22 |
| perl-proc-guard-doc-0.07-r4.apk | 3.3 KiB | 2024-10-25 20:53:22 |
| perl-mojo-sqlite-doc-3.009-r0.apk | 19.1 KiB | 2024-10-25 20:53:22 |
| perl-minion-backend-redis-0.003-r0.apk | 10.2 KiB | 2024-10-25 20:53:22 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3.1 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.3 KiB | 2024-10-25 20:53:22 |
| perl-protocol-redis-doc-1.0021-r0.apk | 4.8 KiB | 2024-10-25 20:53:22 |
| perl-number-format-doc-1.76-r1.apk | 8.7 KiB | 2024-10-25 20:53:22 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.5 KiB | 2024-10-25 20:53:22 |
| perl-protocol-database-postgresql-2.001-r0.apk | 18.9 KiB | 2024-10-25 20:53:22 |
| perl-protocol-database-postgresql-doc-2.001-r0.apk | 37.3 KiB | 2024-10-25 20:53:22 |
| perl-net-curl-promiser-0.20-r0.apk | 8.6 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-0.28-r0.apk | 10.5 KiB | 2024-10-25 20:53:22 |
| perl-object-array-doc-0.060-r0.apk | 6.7 KiB | 2024-10-25 20:53:22 |
| perl-plack-middleware-expires-0.06-r3.apk | 3.6 KiB | 2024-10-25 20:53:22 |
| perl-opentracing-1.006-r0.apk | 17.7 KiB | 2024-10-25 20:53:22 |
| perl-net-address-ip-local-0.1.2-r0.apk | 3.2 KiB | 2024-10-25 20:53:22 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.4 KiB | 2024-10-25 20:53:22 |
| perl-mojo-redis-doc-3.29-r0.apk | 24.3 KiB | 2024-10-25 20:53:22 |
| perl-number-tolerant-1.710-r0.apk | 14.6 KiB | 2024-10-25 20:53:22 |
| perl-protocol-redis-1.0021-r0.apk | 5.4 KiB | 2024-10-25 20:53:22 |
| perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.2 KiB | 2024-10-25 20:53:22 |
| perl-proc-guard-0.07-r4.apk | 3.4 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-doc-0.28-r0.apk | 11.8 KiB | 2024-10-25 20:53:22 |
| perl-mastodon-client-doc-0.017-r0.apk | 32.7 KiB | 2024-10-25 20:53:22 |
| perl-pod-tidy-doc-0.10-r1.apk | 10.3 KiB | 2024-10-25 20:53:22 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11.4 KiB | 2024-10-25 20:53:22 |
| perl-path-iter-doc-0.2-r3.apk | 4.9 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-future-0.28-r0.apk | 2.0 KiB | 2024-10-25 20:53:22 |
| perl-object-array-0.060-r0.apk | 5.4 KiB | 2024-10-25 20:53:22 |
| perl-pod-cpandoc-0.16-r6.apk | 4.3 KiB | 2024-10-25 20:53:22 |
| perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.5 KiB | 2024-10-25 20:53:22 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 2.9 KiB | 2024-10-25 20:53:22 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 2.9 KiB | 2024-10-25 20:53:22 |
| perl-pod-tidy-0.10-r1.apk | 10.1 KiB | 2024-10-25 20:53:22 |
| perl-minion-backend-sqlite-5.0.7-r0.apk | 9.9 KiB | 2024-10-25 20:53:22 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6.1 KiB | 2024-10-25 20:53:22 |
| perl-promise-es6-anyevent-0.28-r0.apk | 2.3 KiB | 2024-10-25 20:53:22 |
| perl-mojo-redis-3.29-r0.apk | 24.9 KiB | 2024-10-25 20:53:22 |
| perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.1 KiB | 2024-10-25 20:53:22 |
| perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk | 3.5 KiB | 2024-10-25 20:53:22 |
| perl-number-tolerant-doc-1.710-r0.apk | 25.4 KiB | 2024-10-25 20:53:22 |
| perl-throwable-doc-1.001-r1.apk | 7.7 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-mojo-6.8.2-r0.apk | 2.6 KiB | 2024-10-25 20:53:23 |
| perl-uri-tcp-2.0.0-r0.apk | 2.5 KiB | 2024-10-25 20:53:23 |
| perl-text-table-any-doc-0.117-r0.apk | 6.4 KiB | 2024-10-25 20:53:23 |
| perl-test2-tools-explain-doc-0.02-r0.apk | 4.2 KiB | 2024-10-25 20:53:23 |
| perl-sort-versions-1.62-r0.apk | 3.5 KiB | 2024-10-25 20:53:23 |
| perl-uri-redis-0.02-r0.apk | 2.9 KiB | 2024-10-25 20:53:23 |
| perl-test-trap-doc-0.3.5-r1.apk | 19.6 KiB | 2024-10-25 20:53:23 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20.0 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.7 KiB | 2024-10-25 20:53:23 |
| perl-test-requires-git-doc-1.008-r0.apk | 4.1 KiB | 2024-10-25 20:53:23 |
| perl-ryu-async-0.020-r0.apk | 7.2 KiB | 2024-10-25 20:53:23 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 2.8 KiB | 2024-10-25 20:53:23 |
| perl-uri-nested-0.10-r0.apk | 3.7 KiB | 2024-10-25 20:53:23 |
| perl-test-api-doc-0.010-r2.apk | 4.0 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-anyevent-6.8.1-r0.apk | 2.5 KiB | 2024-10-25 20:53:23 |
| perl-test-useallmodules-doc-0.17-r1.apk | 3.6 KiB | 2024-10-25 20:53:23 |
| perl-template-plugin-csv-0.04-r3.apk | 2.5 KiB | 2024-10-25 20:53:23 |
| perl-soap-lite-doc-1.27-r5.apk | 90.2 KiB | 2024-10-25 20:53:23 |
| perl-test-describeme-doc-0.004-r0.apk | 3.9 KiB | 2024-10-25 20:53:23 |
| perl-test-class-tiny-0.03-r0.apk | 5.6 KiB | 2024-10-25 20:53:23 |
| perl-ryu-async-doc-0.020-r0.apk | 11.6 KiB | 2024-10-25 20:53:23 |
| perl-string-camelcase-doc-0.04-r2.apk | 3.2 KiB | 2024-10-25 20:53:23 |
| perl-uri-tcp-doc-2.0.0-r0.apk | 4.7 KiB | 2024-10-25 20:53:23 |
| perl-test-lwp-useragent-0.036-r0.apk | 9.6 KiB | 2024-10-25 20:53:23 |
| perl-test-roo-doc-1.004-r3.apk | 15.2 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.3 KiB | 2024-10-25 20:53:23 |
| perl-uri-nested-doc-0.10-r0.apk | 3.6 KiB | 2024-10-25 20:53:23 |
| perl-test2-tools-explain-0.02-r0.apk | 3.6 KiB | 2024-10-25 20:53:23 |
| perl-text-table-sprintf-doc-0.008-r0.apk | 5.0 KiB | 2024-10-25 20:53:23 |
| perl-uri-fetch-0.15-r0.apk | 6.8 KiB | 2024-10-25 20:53:23 |
| perl-throwable-1.001-r1.apk | 6.0 KiB | 2024-10-25 20:53:23 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 37.2 KiB | 2024-10-25 20:53:23 |
| perl-storable-improved-0.1.3-r0.apk | 6.4 KiB | 2024-10-25 20:53:23 |
| perl-text-brew-doc-0.02-r5.apk | 3.9 KiB | 2024-10-25 20:53:23 |
| perl-test-class-tiny-doc-0.03-r0.apk | 5.2 KiB | 2024-10-25 20:53:23 |
| perl-test-files-0.26-r0.apk | 6.5 KiB | 2024-10-25 20:53:23 |
| perl-term-ui-0.50-r1.apk | 9.7 KiB | 2024-10-25 20:53:23 |
| perl-test-roo-1.004-r3.apk | 11.7 KiB | 2024-10-25 20:53:23 |
| perl-test-modern-0.013-r3.apk | 14.4 KiB | 2024-10-25 20:53:23 |
| perl-role-eventemitter-0.003-r0.apk | 3.4 KiB | 2024-10-25 20:53:23 |
| perl-test-files-doc-0.26-r0.apk | 14.3 KiB | 2024-10-25 20:53:23 |
| perl-test-randomresult-doc-0.001-r0.apk | 3.4 KiB | 2024-10-25 20:53:23 |
| perl-test-expander-doc-2.5.1-r0.apk | 19.8 KiB | 2024-10-25 20:53:23 |
| perl-text-table-any-0.117-r0.apk | 7.8 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-menu-0.16-r0.apk | 6.9 KiB | 2024-10-25 20:53:23 |
| perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.1 KiB | 2024-10-25 20:53:23 |
| perl-test-distribution-doc-2.00-r1.apk | 5.8 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-entry-plugin-completion-0.02-r0.apk | 4.4 KiB | 2024-10-25 20:53:23 |
| perl-system-command-1.122-r0.apk | 11.6 KiB | 2024-10-25 20:53:23 |
| perl-test-trap-0.3.5-r1.apk | 19.5 KiB | 2024-10-25 20:53:23 |
| perl-time-moment-role-timezone-1.000-r0.apk | 3.3 KiB | 2024-10-25 20:53:23 |
| perl-time-moment-role-timezone-doc-1.000-r0.apk | 3.7 KiB | 2024-10-25 20:53:23 |
| perl-test-settings-0.003-r0.apk | 4.7 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-mojo-doc-6.8.2-r0.apk | 8.8 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-floatbox-0.11-r0.apk | 4.4 KiB | 2024-10-25 20:53:23 |
| perl-storable-improved-doc-0.1.3-r0.apk | 6.7 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-6.29.8-r0.apk | 26.0 KiB | 2024-10-25 20:53:23 |
| perl-role-eventemitter-doc-0.003-r0.apk | 3.7 KiB | 2024-10-25 20:53:23 |
| perl-template-plugin-number-format-1.06-r4.apk | 4.6 KiB | 2024-10-25 20:53:23 |
| perl-test-randomresult-0.001-r0.apk | 3.3 KiB | 2024-10-25 20:53:23 |
| perl-time-moment-role-strptime-0.001-r0.apk | 2.5 KiB | 2024-10-25 20:53:23 |
| perl-test-expander-2.5.1-r0.apk | 6.9 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-scrollbox-0.12-r0.apk | 7.7 KiB | 2024-10-25 20:53:23 |
| perl-test-redisserver-doc-0.23-r0.apk | 3.8 KiB | 2024-10-25 20:53:23 |
| perl-ryu-doc-4.001-r0.apk | 35.1 KiB | 2024-10-25 20:53:23 |
| perl-ryu-4.001-r0.apk | 25.8 KiB | 2024-10-25 20:53:23 |
| perl-statistics-descriptive-3.0801-r0.apk | 30.0 KiB | 2024-10-25 20:53:23 |
| perl-system-command-doc-1.122-r0.apk | 9.9 KiB | 2024-10-25 20:53:23 |
| perl-statistics-basic-1.6611-r0.apk | 9.0 KiB | 2024-10-25 20:53:23 |
| perl-test-redisserver-0.23-r0.apk | 4.7 KiB | 2024-10-25 20:53:23 |
| perl-types-path-tiny-doc-0.006-r0.apk | 3.8 KiB | 2024-10-25 20:53:23 |
| perl-test-memorygrowth-0.05-r0.apk | 6.2 KiB | 2024-10-25 20:53:23 |
| perl-statistics-basic-doc-1.6611-r0.apk | 49.5 KiB | 2024-10-25 20:53:23 |
| perl-sort-naturally-doc-1.03-r4.apk | 5.2 KiB | 2024-10-25 20:53:23 |
| perl-test-describeme-0.004-r0.apk | 3.3 KiB | 2024-10-25 20:53:23 |
| perl-test-useallmodules-0.17-r1.apk | 3.6 KiB | 2024-10-25 20:53:23 |
| perl-types-path-tiny-0.006-r0.apk | 3.7 KiB | 2024-10-25 20:53:23 |
| perl-sql-abstract-classic-1.91-r1.apk | 29.3 KiB | 2024-10-25 20:53:23 |
| perl-test-distribution-2.00-r1.apk | 7.5 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-floatbox-doc-0.11-r0.apk | 3.9 KiB | 2024-10-25 20:53:23 |
| perl-session-storage-secure-doc-1.000-r2.apk | 7.1 KiB | 2024-10-25 20:53:23 |
| perl-test-requires-git-1.008-r0.apk | 4.5 KiB | 2024-10-25 20:53:23 |
| perl-url-encode-0.03-r4.apk | 4.9 KiB | 2024-10-25 20:53:23 |
| perl-starman-doc-0.4017-r0.apk | 9.7 KiB | 2024-10-25 20:53:23 |
| perl-test-api-0.010-r2.apk | 4.8 KiB | 2024-10-25 20:53:23 |
| perl-test-modern-doc-0.013-r3.apk | 9.6 KiB | 2024-10-25 20:53:23 |
| perl-string-camelcase-0.04-r2.apk | 2.9 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-choice-doc-0.07-r0.apk | 3.1 KiB | 2024-10-25 20:53:23 |
| perl-uri-redis-doc-0.02-r0.apk | 4.3 KiB | 2024-10-25 20:53:23 |
| perl-sort-versions-doc-1.62-r0.apk | 3.9 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-menu-doc-0.16-r0.apk | 6.7 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk | 3.6 KiB | 2024-10-25 20:53:23 |
| perl-soap-lite-1.27-r5.apk | 110.0 KiB | 2024-10-25 20:53:23 |
| perl-uri-fetch-doc-0.15-r0.apk | 7.3 KiB | 2024-10-25 20:53:23 |
| perl-text-brew-0.02-r5.apk | 4.3 KiB | 2024-10-25 20:53:23 |
| perl-test-memorygrowth-doc-0.05-r0.apk | 5.0 KiB | 2024-10-25 20:53:23 |
| perl-starman-0.4017-r0.apk | 13.2 KiB | 2024-10-25 20:53:23 |
| perl-tickit-widget-choice-0.07-r0.apk | 3.7 KiB | 2024-10-25 20:53:23 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4.1 KiB | 2024-10-25 20:53:23 |
| perl-test-settings-doc-0.003-r0.apk | 5.8 KiB | 2024-10-25 20:53:23 |
| perl-session-storage-secure-1.000-r2.apk | 8.6 KiB | 2024-10-25 20:53:23 |
| perl-term-ui-doc-0.50-r1.apk | 8.2 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-ioasync-doc-6.9.1-r0.apk | 8.7 KiB | 2024-10-25 20:53:23 |
| perl-text-table-sprintf-0.008-r0.apk | 5.1 KiB | 2024-10-25 20:53:23 |
| perl-test-lwp-useragent-doc-0.036-r0.apk | 8.1 KiB | 2024-10-25 20:53:23 |
| perl-sort-naturally-1.03-r4.apk | 8.4 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-doc-6.29.8-r0.apk | 22.3 KiB | 2024-10-25 20:53:23 |
| perl-rxperl-ioasync-6.9.1-r0.apk | 2.6 KiB | 2024-10-25 20:53:23 |
| perl-xml-rpc-doc-2.1-r0.apk | 4.6 KiB | 2024-10-25 20:53:24 |
| perl-xml-parser-style-easytree-0.09-r0.apk | 4.7 KiB | 2024-10-25 20:53:24 |
| perl-x-tiny-doc-0.22-r0.apk | 7.3 KiB | 2024-10-25 20:53:24 |
| perl-xml-rpc-2.1-r0.apk | 5.5 KiB | 2024-10-25 20:53:24 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 287.2 KiB | 2024-10-25 20:53:24 |
| phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-10-25 20:53:24 |
| perl-xml-atom-doc-0.43-r0.apk | 15.7 KiB | 2024-10-25 20:53:24 |
| perl-x-tiny-0.22-r0.apk | 6.6 KiB | 2024-10-25 20:53:24 |
| perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.2 KiB | 2024-10-25 20:53:24 |
| perl-url-encode-doc-0.03-r4.apk | 4.4 KiB | 2024-10-25 20:53:24 |
| pest-language-server-0.3.9-r0.apk | 1.1 MiB | 2024-10-25 20:53:24 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.5 KiB | 2024-10-25 20:53:24 |
| perl-variable-disposition-0.005-r0.apk | 3.0 KiB | 2024-10-25 20:53:24 |
| perl-xml-atom-0.43-r0.apk | 19.3 KiB | 2024-10-25 20:53:24 |
| perl-variable-disposition-doc-0.005-r0.apk | 5.4 KiB | 2024-10-25 20:53:24 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 31.7 KiB | 2024-10-25 20:53:26 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 6.7 KiB | 2024-10-25 20:53:26 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31.0 KiB | 2024-10-25 20:53:26 |
| php81-pecl-memcache-8.2-r1.apk | 43.0 KiB | 2024-10-25 20:53:26 |
| php81-pecl-vips-1.0.13-r0.apk | 16.6 KiB | 2024-10-25 20:53:26 |
| php81-pecl-lzf-1.7.0-r0.apk | 7.6 KiB | 2024-10-25 20:53:26 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 37.8 KiB | 2024-10-25 20:53:26 |
| php81-pecl-xhprof-2.3.10-r0.apk | 12.8 KiB | 2024-10-25 20:53:26 |
| php81-pecl-event-3.1.4-r0.apk | 51.1 KiB | 2024-10-25 20:53:26 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.7 KiB | 2024-10-25 20:53:26 |
| php81-pecl-decimal-1.5.0-r1.apk | 19.0 KiB | 2024-10-25 20:53:26 |
| php82-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2024-10-25 20:53:26 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.3 KiB | 2024-10-25 20:53:26 |
| php82-pecl-teds-1.3.0-r0.apk | 136.4 KiB | 2024-10-25 20:53:26 |
| php81-tideways_xhprof-5.0.4-r1.apk | 13.8 KiB | 2024-10-25 20:53:26 |
| php81-pecl-psr-1.2.0-r0.apk | 17.2 KiB | 2024-10-25 20:53:26 |
| php83-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2024-10-25 20:53:26 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 40.6 KiB | 2024-10-25 20:53:26 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 40.8 KiB | 2024-10-25 20:53:26 |
| php81-pecl-msgpack-3.0.0-r0.apk | 25.6 KiB | 2024-10-25 20:53:26 |
| php81-pecl-ssh2-1.4.1-r0.apk | 27.6 KiB | 2024-10-25 20:53:26 |
| php83-pecl-zmq-1.1.4-r0.apk | 31.5 KiB | 2024-10-25 20:53:27 |
| php83-pecl-uv-0.3.0-r0.apk | 50.0 KiB | 2024-10-25 20:53:27 |
| pick-doc-4.0.0-r0.apk | 3.1 KiB | 2024-10-25 20:53:33 |
| pick-4.0.0-r0.apk | 10.2 KiB | 2024-10-25 20:53:33 |
| pidif-0.1-r1.apk | 171.7 KiB | 2024-10-25 20:53:33 |
| pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-10-25 20:53:42 |
| pimd-dense-openrc-2.1.0-r0.apk | 1.6 KiB | 2024-10-25 20:53:42 |
| pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-10-25 20:53:42 |
| pimd-3.0_git20220201-r0.apk | 87.4 KiB | 2024-10-25 20:53:42 |
| pimd-dense-doc-2.1.0-r0.apk | 19.4 KiB | 2024-10-25 20:53:42 |
| pimd-dense-2.1.0-r0.apk | 53.7 KiB | 2024-10-25 20:53:42 |
| pithos-pyc-1.6.1-r0.apk | 154.0 KiB | 2024-10-25 20:53:43 |
| pithos-1.6.1-r0.apk | 104.2 KiB | 2024-10-25 20:53:43 |
| pithos-doc-1.6.1-r0.apk | 1.8 KiB | 2024-10-25 20:53:43 |
| pnmixer-doc-0.7.2-r3.apk | 2.0 KiB | 2024-10-25 20:53:44 |
| policycoreutils-lang-3.6-r1.apk | 105.1 KiB | 2024-10-25 20:53:44 |
| pmccabe-2.8-r1.apk | 27.8 KiB | 2024-10-25 20:53:44 |
| policycoreutils-doc-3.6-r1.apk | 22.1 KiB | 2024-10-25 20:53:44 |
| policycoreutils-bash-completion-3.6-r1.apk | 2.2 KiB | 2024-10-25 20:53:44 |
| plplot-doc-5.15.0-r2.apk | 310.3 KiB | 2024-10-25 20:53:44 |
| plplot-dev-5.15.0-r2.apk | 58.7 KiB | 2024-10-25 20:53:44 |
| pnmixer-lang-0.7.2-r3.apk | 24.5 KiB | 2024-10-25 20:53:44 |
| pixi-0.24.2-r0.apk | 9.1 MiB | 2024-10-25 20:53:44 |
| plplot-libs-5.15.0-r2.apk | 205.1 KiB | 2024-10-25 20:53:44 |
| policycoreutils-3.6-r1.apk | 69.7 KiB | 2024-10-25 20:53:44 |
| pokoy-0.2.5-r0.apk | 9.6 KiB | 2024-10-25 20:53:44 |
| pixi-zsh-completion-0.24.2-r0.apk | 10.2 KiB | 2024-10-25 20:53:44 |
| pixi-bash-completion-0.24.2-r0.apk | 6.9 KiB | 2024-10-25 20:53:44 |
| pokoy-doc-0.2.5-r0.apk | 2.7 KiB | 2024-10-25 20:53:44 |
| pixi-fish-completion-0.24.2-r0.apk | 9.8 KiB | 2024-10-25 20:53:44 |
| pnmixer-0.7.2-r3.apk | 143.0 KiB | 2024-10-25 20:53:44 |
| pmccabe-doc-2.8-r1.apk | 6.9 KiB | 2024-10-25 20:53:44 |
| pixi-doc-0.24.2-r0.apk | 6.6 KiB | 2024-10-25 20:53:44 |
| plplot-5.15.0-r2.apk | 31.2 KiB | 2024-10-25 20:53:44 |
| plib-1.8.5-r3.apk | 944.7 KiB | 2024-10-25 20:53:44 |
| pongoos-loader-0_git20210704-r1.apk | 2.1 KiB | 2024-10-25 20:53:45 |
| powerstat-0.04.01-r0.apk | 19.4 KiB | 2024-10-25 20:53:47 |
| postgresql16-wal2json-2.6-r0.apk | 72.5 KiB | 2024-10-25 20:53:47 |
| postgresql-hll-2.18-r0.apk | 28.4 KiB | 2024-10-25 20:53:47 |
| powerstat-bash-completion-0.04.01-r0.apk | 2.0 KiB | 2024-10-25 20:53:47 |
| postgresql-hll-bitcode-2.18-r0.apk | 55.6 KiB | 2024-10-25 20:53:47 |
| postgresql-pgmq-1.1.1-r1.apk | 283.5 KiB | 2024-10-25 20:53:47 |
| postgresql-pg_later-0.0.14-r1.apk | 654.0 KiB | 2024-10-25 20:53:47 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 38.9 KiB | 2024-10-25 20:53:48 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-10-25 20:53:48 |
| pqiv-2.12-r1.apk | 68.3 KiB | 2024-10-25 20:53:48 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.1 KiB | 2024-10-25 20:53:48 |
| prjtrellis-db-0_git20230929-r0.apk | 3.0 KiB | 2024-10-25 20:53:48 |
| pqiv-doc-2.12-r1.apk | 11.8 KiB | 2024-10-25 20:53:48 |
| prjtrellis-1.4-r2.apk | 1.3 MiB | 2024-10-25 20:53:48 |
| powerstat-doc-0.04.01-r0.apk | 4.0 KiB | 2024-10-25 20:53:48 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.5 KiB | 2024-10-25 20:53:49 |
| projectm-presets-3.1.12-r2.apk | 4.4 MiB | 2024-10-25 20:53:49 |
| projectm-dev-3.1.12-r2.apk | 652.4 KiB | 2024-10-25 20:53:49 |
| projectm-3.1.12-r2.apk | 455.1 KiB | 2024-10-25 20:53:49 |
| projectm-pulseaudio-3.1.12-r2.apk | 421.4 KiB | 2024-10-25 20:53:49 |
| projectm-sdl-3.1.12-r2.apk | 333.6 KiB | 2024-10-25 20:53:49 |
| projectsandcastle-loader-0_git20200307-r1.apk | 4.9 KiB | 2024-10-25 20:53:49 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-10-25 20:53:49 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 1.7 KiB | 2024-10-25 20:53:49 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-10-25 20:53:53 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-10-25 20:53:53 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-10-25 20:53:53 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-10-25 20:53:53 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 20:53:53 |
| prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-10-25 20:53:53 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-10-25 20:53:53 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk | 6.9 KiB | 2024-10-25 20:53:53 |
| prosody-mod-register_redirect-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-10-25 20:53:53 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-http_upload_external-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 20:53:53 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-10-25 20:53:53 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-10-25 20:53:53 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 20:53:53 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-10-25 20:53:53 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-10-25 20:53:53 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-10-25 20:53:53 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-block_registrations-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-10-25 20:53:53 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-10-25 20:53:53 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 20:53:53 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 20:53:53 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-10-25 20:53:53 |
| psftools-doc-1.1.2-r0.apk | 59.4 KiB | 2024-10-25 20:53:54 |
| psftools-1.1.2-r0.apk | 304.4 KiB | 2024-10-25 20:53:54 |
| psi-notify-1.3.1-r0.apk | 11.0 KiB | 2024-10-25 20:53:54 |
| psftools-dev-1.1.2-r0.apk | 80.1 KiB | 2024-10-25 20:53:54 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 60.1 KiB | 2024-10-25 20:53:56 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 18.9 KiB | 2024-10-25 20:53:56 |
| py-spy-bash-completion-0.3.14-r3.apk | 2.1 KiB | 2024-10-25 20:53:56 |
| pulseview-doc-0.4.2-r8.apk | 3.4 KiB | 2024-10-25 20:53:56 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16.2 KiB | 2024-10-25 20:53:56 |
| ptpd-openrc-2.3.1-r1.apk | 2.1 KiB | 2024-10-25 20:53:56 |
| py-spy-fish-completion-0.3.14-r3.apk | 2.3 KiB | 2024-10-25 20:53:56 |
| pully-1.0.0-r0.apk | 2.5 KiB | 2024-10-25 20:53:56 |
| ptpd-doc-2.3.1-r1.apk | 20.0 KiB | 2024-10-25 20:53:56 |
| py-spy-doc-0.3.14-r3.apk | 2.0 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-session-2.12.1-r0.apk | 10.2 KiB | 2024-10-25 20:53:56 |
| py-spy-0.3.14-r3.apk | 958.2 KiB | 2024-10-25 20:53:56 |
| pw-volume-0.5.0-r1.apk | 347.0 KiB | 2024-10-25 20:53:56 |
| pulseview-0.4.2-r8.apk | 1023.0 KiB | 2024-10-25 20:53:56 |
| py-spy-zsh-completion-0.3.14-r3.apk | 2.8 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.0 KiB | 2024-10-25 20:53:56 |
| pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-10-25 20:53:56 |
| py3-actdiag-3.0.0-r5.apk | 17.0 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 50.9 KiB | 2024-10-25 20:53:56 |
| pxmenu-1.0.0-r1.apk | 2.6 KiB | 2024-10-25 20:53:56 |
| pwauth-doc-2.3.11-r2.apk | 6.5 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12.1 KiB | 2024-10-25 20:53:56 |
| py3-actdiag-pyc-3.0.0-r5.apk | 21.2 KiB | 2024-10-25 20:53:56 |
| pwauth-2.3.11-r2.apk | 3.7 KiB | 2024-10-25 20:53:56 |
| ptpd-2.3.1-r1.apk | 169.2 KiB | 2024-10-25 20:53:56 |
| purple-hangouts-0_git20200422-r0.apk | 231.3 KiB | 2024-10-25 20:53:56 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 23.7 KiB | 2024-10-25 20:53:56 |
| purple-facebook-0.9.6-r0.apk | 78.9 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.5 KiB | 2024-10-25 20:53:56 |
| py3-aiosasl-0.5.0-r4.apk | 29.3 KiB | 2024-10-25 20:53:56 |
| psst-0_git20240526-r1.apk | 7.5 MiB | 2024-10-25 20:53:56 |
| py3-aiodocker-0.21.0-r1.apk | 29.1 KiB | 2024-10-25 20:53:56 |
| pxalarm-3.0.0-r0.apk | 2.6 KiB | 2024-10-25 20:53:56 |
| py3-aioopenssl-0.6.0-r4.apk | 20.6 KiB | 2024-10-25 20:53:56 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.7 KiB | 2024-10-25 20:53:56 |
| py3-anyascii-0.3.2-r1.apk | 274.5 KiB | 2024-10-25 20:53:57 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18.1 KiB | 2024-10-25 20:53:57 |
| py3-aioxmpp-0.13.3-r3.apk | 387.4 KiB | 2024-10-25 20:53:57 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 672.9 KiB | 2024-10-25 20:53:57 |
| py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-10-25 20:53:57 |
| py3-allfiles-1.0-r8.apk | 3.3 KiB | 2024-10-25 20:53:57 |
| py3-apicula-pyc-0.11.1-r1.apk | 179.2 KiB | 2024-10-25 20:53:57 |
| py3-apio-pyc-0.9.5-r0.apk | 76.9 KiB | 2024-10-25 20:53:57 |
| py3-allfiles-pyc-1.0-r8.apk | 3.0 KiB | 2024-10-25 20:53:57 |
| py3-apio-0.9.5-r0.apk | 72.1 KiB | 2024-10-25 20:53:57 |
| py3-altgraph-0.17.4-r1.apk | 20.5 KiB | 2024-10-25 20:53:57 |
| py3-altgraph-pyc-0.17.4-r1.apk | 28.9 KiB | 2024-10-25 20:53:57 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3.1 KiB | 2024-10-25 20:53:57 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4.0 KiB | 2024-10-25 20:53:58 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 559.7 KiB | 2024-10-25 20:53:58 |
| py3-businesstime-0.3.0-r9.apk | 10.4 KiB | 2024-10-25 20:53:58 |
| py3-bottle-session-1.0-r6.apk | 9.9 KiB | 2024-10-25 20:53:58 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.0 KiB | 2024-10-25 20:53:58 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2.3 KiB | 2024-10-25 20:53:58 |
| py3-avro-1.11.3-r1.apk | 97.4 KiB | 2024-10-25 20:53:58 |
| py3-cchardet-pyc-2.1.7-r5.apk | 2.8 KiB | 2024-10-25 20:53:58 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.6 KiB | 2024-10-25 20:53:58 |
| py3-cassandra-driver-3.29.2-r0.apk | 285.6 KiB | 2024-10-25 20:53:58 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 12.5 KiB | 2024-10-25 20:53:58 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 2.9 KiB | 2024-10-25 20:53:58 |
| py3-bson-0.5.10-r6.apk | 11.4 KiB | 2024-10-25 20:53:58 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16.1 KiB | 2024-10-25 20:53:58 |
| py3-bleak-0.22.3-r0.apk | 369.6 KiB | 2024-10-25 20:53:58 |
| py3-bottle-redis-0.2.3-r6.apk | 3.0 KiB | 2024-10-25 20:53:58 |
| py3-astral-3.2-r3.apk | 36.8 KiB | 2024-10-25 20:53:58 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.4 KiB | 2024-10-25 20:53:58 |
| py3-bidict-pyc-0.23.1-r1.apk | 28.5 KiB | 2024-10-25 20:53:58 |
| py3-bencode-4.0.0-r1.apk | 16.8 KiB | 2024-10-25 20:53:58 |
| py3-bencode-pyc-4.0.0-r1.apk | 10.2 KiB | 2024-10-25 20:53:58 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.4 KiB | 2024-10-25 20:53:58 |
| py3-blockchain-1.4.4-r7.apk | 10.7 KiB | 2024-10-25 20:53:58 |
| py3-bson-pyc-0.5.10-r6.apk | 18.2 KiB | 2024-10-25 20:53:58 |
| py3-barcodenumber-0.2.1-r10.apk | 16.1 KiB | 2024-10-25 20:53:58 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 4.9 KiB | 2024-10-25 20:53:58 |
| py3-catkin-pkg-0.5.2-r4.apk | 56.9 KiB | 2024-10-25 20:53:58 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4.4 KiB | 2024-10-25 20:53:58 |
| py3-asif-0.3.2-r3.apk | 13.1 KiB | 2024-10-25 20:53:58 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 5.0 KiB | 2024-10-25 20:53:58 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 2.9 KiB | 2024-10-25 20:53:58 |
| py3-c3d-0.5.2-r1.apk | 31.8 KiB | 2024-10-25 20:53:58 |
| py3-c3d-pyc-0.5.2-r1.apk | 53.4 KiB | 2024-10-25 20:53:58 |
| py3-banal-1.0.6-r4.apk | 6.6 KiB | 2024-10-25 20:53:58 |
| py3-bottle-api-0.0.4-r7.apk | 4.6 KiB | 2024-10-25 20:53:58 |
| py3-avro-pyc-1.11.3-r1.apk | 191.1 KiB | 2024-10-25 20:53:58 |
| py3-blockchain-pyc-1.4.4-r7.apk | 17.6 KiB | 2024-10-25 20:53:58 |
| py3-banal-pyc-1.0.6-r4.apk | 7.0 KiB | 2024-10-25 20:53:58 |
| py3-asif-pyc-0.3.2-r3.apk | 25.6 KiB | 2024-10-25 20:53:58 |
| py3-ask-pyc-0.0.8-r8.apk | 4.2 KiB | 2024-10-25 20:53:58 |
| py3-bottle-renderer-0.1.1-r9.apk | 3.7 KiB | 2024-10-25 20:53:58 |
| py3-bottle-rest-0.6.0-r1.apk | 5.9 KiB | 2024-10-25 20:53:58 |
| py3-astral-pyc-3.2-r3.apk | 58.7 KiB | 2024-10-25 20:53:58 |
| py3-bottle-pgsql-0.2-r5.apk | 4.0 KiB | 2024-10-25 20:53:58 |
| py3-bidict-0.23.1-r1.apk | 27.5 KiB | 2024-10-25 20:53:58 |
| py3-bottle-request-0.2.0-r9.apk | 3.0 KiB | 2024-10-25 20:53:58 |
| py3-bottle-session-pyc-1.0-r6.apk | 7.5 KiB | 2024-10-25 20:53:58 |
| py3-bitstruct-8.19.0-r1.apk | 35.2 KiB | 2024-10-25 20:53:58 |
| py3-bottle-websocket-0.2.9-r8.apk | 4.4 KiB | 2024-10-25 20:53:58 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.0 KiB | 2024-10-25 20:53:58 |
| py3-ask-0.0.8-r8.apk | 4.7 KiB | 2024-10-25 20:53:58 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.5 KiB | 2024-10-25 20:53:58 |
| py3-cchardet-2.1.7-r5.apk | 124.7 KiB | 2024-10-25 20:53:58 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 3.8 KiB | 2024-10-25 20:53:58 |
| py3-certauth-pyc-1.3.0-r1.apk | 8.8 KiB | 2024-10-25 20:53:59 |
| py3-coreapi-pyc-2.3.3-r9.apk | 43.0 KiB | 2024-10-25 20:53:59 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.4 KiB | 2024-10-25 20:53:59 |
| py3-doit-0.36.0-r5.apk | 76.2 KiB | 2024-10-25 20:53:59 |
| py3-compdb-doc-0.2.0-r8.apk | 2.8 KiB | 2024-10-25 20:53:59 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4.3 KiB | 2024-10-25 20:53:59 |
| py3-cvxpy-1.2.1-r5.apk | 691.5 KiB | 2024-10-25 20:53:59 |
| py3-dotty-dict-1.3.1-r4.apk | 8.1 KiB | 2024-10-25 20:53:59 |
| py3-distorm3-3.5.2-r6.apk | 47.2 KiB | 2024-10-25 20:53:59 |
| py3-crc16-pyc-0.1.1-r10.apk | 4.4 KiB | 2024-10-25 20:53:59 |
| py3-cobs-1.2.0-r4.apk | 20.9 KiB | 2024-10-25 20:53:59 |
| py3-dexml-0.5.1-r9.apk | 22.0 KiB | 2024-10-25 20:53:59 |
| py3-clickclick-pyc-20.10.2-r4.apk | 9.5 KiB | 2024-10-25 20:53:59 |
| py3-dpath-pyc-2.2.0-r0.apk | 17.5 KiB | 2024-10-25 20:53:59 |
| py3-deluge-client-1.10.2-r0.apk | 12.6 KiB | 2024-10-25 20:53:59 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 19.4 KiB | 2024-10-25 20:53:59 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2.0 KiB | 2024-10-25 20:53:59 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3.5 KiB | 2024-10-25 20:53:59 |
| py3-dpath-2.2.0-r0.apk | 16.8 KiB | 2024-10-25 20:53:59 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4.7 KiB | 2024-10-25 20:53:59 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14.0 KiB | 2024-10-25 20:53:59 |
| py3-distorm3-pyc-3.5.2-r6.apk | 48.4 KiB | 2024-10-25 20:53:59 |
| py3-class-doc-1.25-r1.apk | 5.8 KiB | 2024-10-25 20:53:59 |
| py3-django-suit-pyc-0.2.28-r8.apk | 32.2 KiB | 2024-10-25 20:53:59 |
| py3-colander-2.0-r2.apk | 62.2 KiB | 2024-10-25 20:53:59 |
| py3-compdb-0.2.0-r8.apk | 23.0 KiB | 2024-10-25 20:53:59 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 10.7 KiB | 2024-10-25 20:53:59 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk | 14.6 KiB | 2024-10-25 20:53:59 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.4 KiB | 2024-10-25 20:53:59 |
| py3-coreapi-2.3.3-r9.apk | 22.0 KiB | 2024-10-25 20:53:59 |
| py3-certauth-1.3.0-r1.apk | 8.4 KiB | 2024-10-25 20:53:59 |
| py3-cssutils-2.11.1-r1.apk | 154.9 KiB | 2024-10-25 20:53:59 |
| py3-dataclasses-json-0.6.7-r0.apk | 27.2 KiB | 2024-10-25 20:53:59 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 4.0 KiB | 2024-10-25 20:53:59 |
| py3-click-threading-0.5.0-r5.apk | 6.1 KiB | 2024-10-25 20:53:59 |
| py3-compdb-pyc-0.2.0-r8.apk | 39.4 KiB | 2024-10-25 20:53:59 |
| py3-dominate-pyc-2.9.1-r1.apk | 33.5 KiB | 2024-10-25 20:53:59 |
| py3-crc16-0.1.1-r10.apk | 12.4 KiB | 2024-10-25 20:53:59 |
| py3-click-default-group-1.2.4-r1.apk | 4.9 KiB | 2024-10-25 20:53:59 |
| py3-click-completion-0.5.2-r1.apk | 10.5 KiB | 2024-10-25 20:53:59 |
| py3-doit-pyc-0.36.0-r5.apk | 133.0 KiB | 2024-10-25 20:53:59 |
| py3-cmd2-2.4.3-r2.apk | 139.2 KiB | 2024-10-25 20:53:59 |
| py3-colander-pyc-2.0-r2.apk | 42.2 KiB | 2024-10-25 20:53:59 |
| py3-colorthief-pyc-0.2.1-r1.apk | 9.8 KiB | 2024-10-25 20:53:59 |
| py3-cssutils-pyc-2.11.1-r1.apk | 278.4 KiB | 2024-10-25 20:53:59 |
| py3-clickclick-20.10.2-r4.apk | 7.7 KiB | 2024-10-25 20:53:59 |
| py3-cmd2-pyc-2.4.3-r2.apk | 222.4 KiB | 2024-10-25 20:53:59 |
| py3-cookiecutter-2.6.0-r1.apk | 35.1 KiB | 2024-10-25 20:53:59 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8.4 KiB | 2024-10-25 20:53:59 |
| py3-dominate-2.9.1-r1.apk | 24.5 KiB | 2024-10-25 20:53:59 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 935.8 KiB | 2024-10-25 20:53:59 |
| py3-click-threading-pyc-0.5.0-r5.apk | 7.6 KiB | 2024-10-25 20:53:59 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 47.2 KiB | 2024-10-25 20:53:59 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 3.8 KiB | 2024-10-25 20:53:59 |
| py3-colorthief-0.2.1-r1.apk | 7.1 KiB | 2024-10-25 20:53:59 |
| py3-columnize-pyc-0.3.11-r4.apk | 7.2 KiB | 2024-10-25 20:53:59 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.0 KiB | 2024-10-25 20:53:59 |
| py3-columnize-0.3.11-r4.apk | 8.3 KiB | 2024-10-25 20:53:59 |
| py3-class-doc-pyc-1.25-r1.apk | 8.5 KiB | 2024-10-25 20:53:59 |
| py3-dexml-pyc-0.5.1-r9.apk | 37.2 KiB | 2024-10-25 20:53:59 |
| py3-daterangestr-0.0.3-r8.apk | 4.1 KiB | 2024-10-25 20:53:59 |
| py3-cobs-pyc-1.2.0-r4.apk | 12.2 KiB | 2024-10-25 20:53:59 |
| py3-django-suit-0.2.28-r8.apk | 365.5 KiB | 2024-10-25 20:53:59 |
| py3-dweepy-0.3.0-r7.apk | 8.8 KiB | 2024-10-25 20:54:00 |
| py3-flake8-blind-except-0.2.1-r4.apk | 4.9 KiB | 2024-10-25 20:54:00 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 3.9 KiB | 2024-10-25 20:54:00 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 26.5 KiB | 2024-10-25 20:54:00 |
| py3-edalize-0.5.4-r0.apk | 122.3 KiB | 2024-10-25 20:54:00 |
| py3-euclid3-0.01-r8.apk | 13.9 KiB | 2024-10-25 20:54:00 |
| py3-editdistance-s-1.0.0-r6.apk | 14.9 KiB | 2024-10-25 20:54:00 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.3 KiB | 2024-10-25 20:54:00 |
| py3-eradicate-doc-2.3.0-r2.apk | 2.3 KiB | 2024-10-25 20:54:00 |
| py3-edalize-pyc-0.5.4-r0.apk | 189.9 KiB | 2024-10-25 20:54:00 |
| py3-empy-pyc-3.3.4-r7.apk | 58.2 KiB | 2024-10-25 20:54:00 |
| py3-empy-3.3.4-r7.apk | 39.1 KiB | 2024-10-25 20:54:00 |
| py3-feedgenerator-2.1.0-r2.apk | 17.8 KiB | 2024-10-25 20:54:00 |
| py3-euclid3-pyc-0.01-r8.apk | 32.3 KiB | 2024-10-25 20:54:00 |
| py3-feedgen-1.0.0-r1.apk | 40.0 KiB | 2024-10-25 20:54:00 |
| py3-ecos-pyc-2.0.11-r4.apk | 3.4 KiB | 2024-10-25 20:54:00 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 1.8 KiB | 2024-10-25 20:54:00 |
| py3-ecos-2.0.11-r4.apk | 27.7 KiB | 2024-10-25 20:54:00 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6.0 KiB | 2024-10-25 20:54:00 |
| py3-eradicate-2.3.0-r2.apk | 7.3 KiB | 2024-10-25 20:54:00 |
| py3-feedgen-pyc-1.0.0-r1.apk | 61.4 KiB | 2024-10-25 20:54:00 |
| py3-firmata-1.0.3-r10.apk | 13.9 KiB | 2024-10-25 20:54:00 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8.1 KiB | 2024-10-25 20:54:00 |
| py3-duniterpy-1.1.1-r3.apk | 221.1 KiB | 2024-10-25 20:54:00 |
| py3-firmata-pyc-1.0.3-r10.apk | 20.6 KiB | 2024-10-25 20:54:00 |
| py3-fastdiff-0.3.0-r5.apk | 38.2 KiB | 2024-10-25 20:54:00 |
| py3-flake8-import-order-0.18.2-r4.apk | 15.1 KiB | 2024-10-25 20:54:01 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3.0 KiB | 2024-10-25 20:54:01 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.5 KiB | 2024-10-25 20:54:01 |
| py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-10-25 20:54:01 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4.2 KiB | 2024-10-25 20:54:01 |
| py3-flask-json-schema-0.0.5-r4.apk | 3.8 KiB | 2024-10-25 20:54:01 |
| py3-flask-mailman-1.1.1-r0.apk | 16.2 KiB | 2024-10-25 20:54:01 |
| py3-flask-gzip-0.2-r8.apk | 2.9 KiB | 2024-10-25 20:54:01 |
| py3-flake8-print-5.0.0-r5.apk | 6.5 KiB | 2024-10-25 20:54:01 |
| py3-flask-headers-pyc-1.0-r9.apk | 2.2 KiB | 2024-10-25 20:54:01 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.2 KiB | 2024-10-25 20:54:01 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.7 KiB | 2024-10-25 20:54:01 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 3.8 KiB | 2024-10-25 20:54:01 |
| py3-flake8-debugger-4.1.2-r4.apk | 6.0 KiB | 2024-10-25 20:54:01 |
| py3-flake8-copyright-0.2.4-r3.apk | 18.0 KiB | 2024-10-25 20:54:01 |
| py3-flake8-polyfill-1.0.2-r5.apk | 6.8 KiB | 2024-10-25 20:54:01 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 5.7 KiB | 2024-10-25 20:54:01 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 358.0 KiB | 2024-10-25 20:54:01 |
| py3-flask-dbconfig-0.3.12-r8.apk | 85.4 KiB | 2024-10-25 20:54:01 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 10.9 KiB | 2024-10-25 20:54:01 |
| py3-flask-cache-0.13.1-r9.apk | 12.5 KiB | 2024-10-25 20:54:01 |
| py3-flask-basicauth-0.2.0-r9.apk | 5.1 KiB | 2024-10-25 20:54:01 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2.0 KiB | 2024-10-25 20:54:01 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.0 KiB | 2024-10-25 20:54:01 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.1 KiB | 2024-10-25 20:54:01 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3.6 KiB | 2024-10-25 20:54:01 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 7.7 KiB | 2024-10-25 20:54:01 |
| py3-flask-cdn-1.5.3-r8.apk | 4.5 KiB | 2024-10-25 20:54:01 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.5 KiB | 2024-10-25 20:54:01 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 25.5 KiB | 2024-10-25 20:54:01 |
| py3-flask-components-0.1.1-r9.apk | 3.7 KiB | 2024-10-25 20:54:01 |
| py3-flask-headers-1.0-r9.apk | 2.9 KiB | 2024-10-25 20:54:01 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3.4 KiB | 2024-10-25 20:54:01 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2.6 KiB | 2024-10-25 20:54:01 |
| py3-flask-paginate-0.8.1-r6.apk | 8.0 KiB | 2024-10-25 20:54:01 |
| py3-flask-markdown-0.3-r8.apk | 5.4 KiB | 2024-10-25 20:54:01 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 3.8 KiB | 2024-10-25 20:54:01 |
| py3-flake8-snippets-0.2-r8.apk | 5.1 KiB | 2024-10-25 20:54:01 |
| py3-flake8-todo-0.7-r7.apk | 3.3 KiB | 2024-10-25 20:54:01 |
| py3-flask-loopback-1.4.7-r7.apk | 5.3 KiB | 2024-10-25 20:54:01 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18.0 KiB | 2024-10-25 20:54:01 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.4 KiB | 2024-10-25 20:54:01 |
| py3-flask-autorouter-0.2.2-r3.apk | 4.8 KiB | 2024-10-25 20:54:01 |
| py3-flask-bcrypt-1.0.1-r5.apk | 6.9 KiB | 2024-10-25 20:54:01 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.0 KiB | 2024-10-25 20:54:02 |
| py3-iniparse-pyc-0.5-r7.apk | 24.4 KiB | 2024-10-25 20:54:02 |
| py3-git-versioner-pyc-7.1-r1.apk | 13.3 KiB | 2024-10-25 20:54:02 |
| py3-langcodes-3.3.0-r2.apk | 173.3 KiB | 2024-10-25 20:54:02 |
| py3-infinity-pyc-1.5-r6.apk | 3.5 KiB | 2024-10-25 20:54:02 |
| py3-github3-pyc-4.0.1-r1.apk | 226.7 KiB | 2024-10-25 20:54:02 |
| py3-helper-pyc-2.5.0-r5.apk | 27.9 KiB | 2024-10-25 20:54:02 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.1 KiB | 2024-10-25 20:54:02 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.4 KiB | 2024-10-25 20:54:02 |
| py3-halo-0.0.31-r5.apk | 11.2 KiB | 2024-10-25 20:54:02 |
| py3-keepalive-doc-0.5-r5.apk | 1.7 KiB | 2024-10-25 20:54:02 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16.2 KiB | 2024-10-25 20:54:02 |
| py3-jaraco.path-3.7.2-r0.apk | 7.4 KiB | 2024-10-25 20:54:02 |
| py3-halo-pyc-0.0.31-r5.apk | 13.7 KiB | 2024-10-25 20:54:02 |
| py3-intervals-0.9.2-r5.apk | 9.2 KiB | 2024-10-25 20:54:02 |
| py3-incoming-0.3.1-r8.apk | 12.6 KiB | 2024-10-25 20:54:02 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9.3 KiB | 2024-10-25 20:54:02 |
| py3-forbiddenfruit-0.1.4-r2.apk | 8.7 KiB | 2024-10-25 20:54:02 |
| py3-html5-parser-0.4.12-r1.apk | 172.6 KiB | 2024-10-25 20:54:02 |
| py3-gls-1.3.1-r1.apk | 46.5 KiB | 2024-10-25 20:54:02 |
| py3-fpdf-pyc-1.7.2-r5.apk | 88.9 KiB | 2024-10-25 20:54:02 |
| py3-iniparse-doc-0.5-r7.apk | 10.1 KiB | 2024-10-25 20:54:02 |
| py3-iniparse-0.5-r7.apk | 18.4 KiB | 2024-10-25 20:54:02 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.4 KiB | 2024-10-25 20:54:02 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 58.8 KiB | 2024-10-25 20:54:02 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 12.7 KiB | 2024-10-25 20:54:02 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3.0 KiB | 2024-10-25 20:54:02 |
| py3-gevent-websocket-0.10.1-r8.apk | 19.5 KiB | 2024-10-25 20:54:02 |
| py3-google-trans-new-1.1.9-r2.apk | 9.0 KiB | 2024-10-25 20:54:02 |
| py3-keepalive-pyc-0.5-r5.apk | 12.8 KiB | 2024-10-25 20:54:02 |
| py3-helper-2.5.0-r5.apk | 18.5 KiB | 2024-10-25 20:54:02 |
| py3-intervals-pyc-0.9.2-r5.apk | 14.9 KiB | 2024-10-25 20:54:02 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22.0 KiB | 2024-10-25 20:54:02 |
| py3-kazoo-0_git20211202-r4.apk | 124.8 KiB | 2024-10-25 20:54:02 |
| py3-flask-peewee-3.0.6-r0.apk | 171.8 KiB | 2024-10-25 20:54:02 |
| py3-flask-restless-0.17.0-r9.apk | 40.2 KiB | 2024-10-25 20:54:02 |
| py3-imdbpy-2021.4.18-r5.apk | 228.7 KiB | 2024-10-25 20:54:02 |
| py3-keepalive-0.5-r5.apk | 8.7 KiB | 2024-10-25 20:54:02 |
| py3-infinity-1.5-r6.apk | 4.1 KiB | 2024-10-25 20:54:02 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 6.7 KiB | 2024-10-25 20:54:02 |
| py3-glob2-pyc-0.7-r6.apk | 12.7 KiB | 2024-10-25 20:54:02 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 242.3 KiB | 2024-10-25 20:54:02 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 5.8 KiB | 2024-10-25 20:54:02 |
| py3-flask-themer-2.0.0-r2.apk | 7.6 KiB | 2024-10-25 20:54:02 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 23.9 KiB | 2024-10-25 20:54:02 |
| py3-hg-git-pyc-1.1.1-r1.apk | 106.5 KiB | 2024-10-25 20:54:02 |
| py3-hg-git-1.1.1-r1.apk | 69.8 KiB | 2024-10-25 20:54:02 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 244.2 KiB | 2024-10-25 20:54:02 |
| py3-glob2-0.7-r6.apk | 10.0 KiB | 2024-10-25 20:54:02 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.3 KiB | 2024-10-25 20:54:02 |
| py3-gls-pyc-1.3.1-r1.apk | 83.9 KiB | 2024-10-25 20:54:02 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 95.2 KiB | 2024-10-25 20:54:02 |
| py3-kerberos-1.3.1-r5.apk | 17.1 KiB | 2024-10-25 20:54:02 |
| py3-github3-4.0.1-r1.apk | 128.0 KiB | 2024-10-25 20:54:02 |
| py3-hurry.filesize-0.9-r8.apk | 4.4 KiB | 2024-10-25 20:54:02 |
| py3-geoip-1.3.2-r4.apk | 22.7 KiB | 2024-10-25 20:54:02 |
| py3-fpdf-1.7.2-r5.apk | 39.5 KiB | 2024-10-25 20:54:02 |
| py3-jaraco.versioning-1.1.0-r0.apk | 5.6 KiB | 2024-10-25 20:54:02 |
| py3-flask-restaction-0.25.3-r8.apk | 114.6 KiB | 2024-10-25 20:54:02 |
| py3-incoming-pyc-0.3.1-r8.apk | 19.7 KiB | 2024-10-25 20:54:02 |
| py3-freetype-py-2.5.1-r0.apk | 160.7 KiB | 2024-10-25 20:54:02 |
| py3-hatch-openzim-0.2.0-r0.apk | 24.3 KiB | 2024-10-25 20:54:02 |
| py3-git-versioner-7.1-r1.apk | 11.7 KiB | 2024-10-25 20:54:02 |
| py3-landlock-1.0.0_pre4-r2.apk | 8.1 KiB | 2024-10-25 20:54:02 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 19.6 KiB | 2024-10-25 20:54:02 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10.3 KiB | 2024-10-25 20:54:02 |
| py3-libnacl-2.1.0-r1.apk | 20.1 KiB | 2024-10-25 20:54:03 |
| py3-lib_users-0.15-r4.apk | 15.4 KiB | 2024-10-25 20:54:03 |
| py3-librtmp-pyc-0.3.0-r6.apk | 24.3 KiB | 2024-10-25 20:54:03 |
| py3-libiio-0.25-r2.apk | 12.3 KiB | 2024-10-25 20:54:03 |
| py3-langcodes-pyc-3.3.0-r2.apk | 109.5 KiB | 2024-10-25 20:54:03 |
| py3-litex-hub-modules-2024.04-r0.apk | 1.4 KiB | 2024-10-25 20:54:03 |
| py3-libnacl-pyc-2.1.0-r1.apk | 30.1 KiB | 2024-10-25 20:54:03 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.2 KiB | 2024-10-25 20:54:03 |
| py3-librtmp-0.3.0-r6.apk | 35.5 KiB | 2024-10-25 20:54:03 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-10-25 20:54:03 |
| py3-linkify-it-py-2.0.3-r1.apk | 21.1 KiB | 2024-10-25 20:54:03 |
| py3-lib_users-pyc-0.15-r4.apk | 9.2 KiB | 2024-10-25 20:54:03 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk | 5.6 MiB | 2024-10-25 20:54:04 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk | 933.9 KiB | 2024-10-25 20:54:04 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk | 1.9 MiB | 2024-10-25 20:54:04 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 499.8 KiB | 2024-10-25 20:54:04 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 111.8 KiB | 2024-10-25 20:54:05 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-10-25 20:54:05 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-10-25 20:54:05 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk | 207.6 KiB | 2024-10-25 20:54:05 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk | 230.0 KiB | 2024-10-25 20:54:08 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk | 19.5 MiB | 2024-10-25 20:54:08 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk | 44.6 KiB | 2024-10-25 20:54:08 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.1 KiB | 2024-10-25 20:54:08 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk | 7.3 KiB | 2024-10-25 20:54:08 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk | 712.4 KiB | 2024-10-25 20:54:13 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.0 KiB | 2024-10-25 20:54:13 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-10-25 20:54:13 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 111.3 KiB | 2024-10-25 20:54:14 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk | 2.4 MiB | 2024-10-25 20:54:14 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 2.8 KiB | 2024-10-25 20:54:14 |
| py3-log-symbols-0.0.14-r5.apk | 3.9 KiB | 2024-10-25 20:54:14 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk | 57.7 KiB | 2024-10-25 20:54:14 |
| py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk | 2.2 MiB | 2024-10-25 20:54:14 |
| py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk | 4.7 MiB | 2024-10-25 20:54:14 |
| py3-mapbox-earcut-1.0.1-r2.apk | 64.0 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.6 KiB | 2024-10-25 20:54:15 |
| py3-markdown2-pyc-2.5.0-r0.apk | 75.2 KiB | 2024-10-25 20:54:15 |
| py3-ncclient-0.6.13-r5.apk | 68.0 KiB | 2024-10-25 20:54:15 |
| py3-lunr-0.6.2-r4.apk | 32.2 KiB | 2024-10-25 20:54:15 |
| py3-luhn-0.2.0-r9.apk | 3.7 KiB | 2024-10-25 20:54:15 |
| py3-nose-timer-1.0.1-r6.apk | 9.1 KiB | 2024-10-25 20:54:15 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5.0 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 24.3 KiB | 2024-10-25 20:54:15 |
| py3-natpmp-pyc-1.3.2-r1.apk | 9.6 KiB | 2024-10-25 20:54:15 |
| py3-mnemonic-pyc-0.21-r0.apk | 9.4 KiB | 2024-10-25 20:54:15 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 122.3 KiB | 2024-10-25 20:54:15 |
| py3-notifymail-pyc-1.1-r8.apk | 5.5 KiB | 2024-10-25 20:54:15 |
| py3-notifymail-1.1-r8.apk | 7.3 KiB | 2024-10-25 20:54:15 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 9.0 KiB | 2024-10-25 20:54:15 |
| py3-nmap-0.7.1-r4.apk | 20.1 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-mpd-3.3.0-r4.apk | 45.8 KiB | 2024-10-25 20:54:15 |
| py3-luhn-pyc-0.2.0-r9.apk | 2.4 KiB | 2024-10-25 20:54:15 |
| py3-mnemonic-0.21-r0.apk | 94.8 KiB | 2024-10-25 20:54:15 |
| py3-lunr-pyc-0.6.2-r4.apk | 50.4 KiB | 2024-10-25 20:54:15 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 48.2 KiB | 2024-10-25 20:54:15 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6.1 KiB | 2024-10-25 20:54:15 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 106.9 KiB | 2024-10-25 20:54:15 |
| py3-more-properties-pyc-1.1.1-r3.apk | 8.0 KiB | 2024-10-25 20:54:15 |
| py3-nptyping-2.5.0-r3.apk | 21.1 KiB | 2024-10-25 20:54:15 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 9.7 KiB | 2024-10-25 20:54:15 |
| py3-lsprotocol-2023.0.1-r1.apk | 69.2 KiB | 2024-10-25 20:54:15 |
| py3-mnemonic-doc-0.21-r0.apk | 2.1 KiB | 2024-10-25 20:54:15 |
| py3-netifaces2-0.0.22-r0.apk | 208.5 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.4 KiB | 2024-10-25 20:54:15 |
| py3-more-properties-1.1.1-r3.apk | 7.2 KiB | 2024-10-25 20:54:15 |
| py3-nmap-pyc-0.7.1-r4.apk | 25.2 KiB | 2024-10-25 20:54:15 |
| py3-markdown2-2.5.0-r0.apk | 47.0 KiB | 2024-10-25 20:54:15 |
| py3-migen-pyc-0.9.2-r2.apk | 295.4 KiB | 2024-10-25 20:54:15 |
| py3-lsp-black-2.0.0-r1.apk | 7.2 KiB | 2024-10-25 20:54:15 |
| py3-lzo-1.16-r1.apk | 17.0 KiB | 2024-10-25 20:54:15 |
| py3-natpmp-1.3.2-r1.apk | 9.2 KiB | 2024-10-25 20:54:15 |
| py3-modbus-tk-1.1.1-r4.apk | 24.5 KiB | 2024-10-25 20:54:15 |
| py3-m2crypto-0.41.0-r2.apk | 194.6 KiB | 2024-10-25 20:54:15 |
| py3-migen-0.9.2-r2.apk | 142.4 KiB | 2024-10-25 20:54:15 |
| py3-ncclient-pyc-0.6.13-r5.apk | 106.3 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-tidal-0.3.2-r6.apk | 24.5 KiB | 2024-10-25 20:54:15 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.2 KiB | 2024-10-25 20:54:15 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.4 KiB | 2024-10-25 20:54:15 |
| py3-lzo-pyc-1.16-r1.apk | 1.7 KiB | 2024-10-25 20:54:15 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8.4 KiB | 2024-10-25 20:54:16 |
| py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-10-25 20:54:16 |
| py3-ntplib-0.4.0-r5.apk | 7.1 KiB | 2024-10-25 20:54:16 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 77.6 KiB | 2024-10-25 20:54:16 |
| py3-nptyping-pyc-2.5.0-r3.apk | 31.8 KiB | 2024-10-25 20:54:16 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.3 KiB | 2024-10-25 20:54:18 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.0 KiB | 2024-10-25 20:54:18 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 102.7 KiB | 2024-10-25 20:54:18 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.4 KiB | 2024-10-25 20:54:18 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.0 KiB | 2024-10-25 20:54:18 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.0 KiB | 2024-10-25 20:54:18 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 9.7 KiB | 2024-10-25 20:54:18 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 16.9 KiB | 2024-10-25 20:54:18 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 11.5 KiB | 2024-10-25 20:54:18 |
| py3-orderedmultidict-1.0.1-r7.apk | 11.6 KiB | 2024-10-25 20:54:18 |
| py3-openwisp-utils-1.0.4-r4.apk | 497.4 KiB | 2024-10-25 20:54:18 |
| py3-ovos-backend-client-1.0.0-r0.apk | 45.7 KiB | 2024-10-25 20:54:18 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.2 KiB | 2024-10-25 20:54:18 |
| py3-osqp-0.6.2-r6.apk | 114.9 KiB | 2024-10-25 20:54:18 |
| py3-osqp-dev-0.6.2-r6.apk | 48.8 KiB | 2024-10-25 20:54:18 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.5 KiB | 2024-10-25 20:54:18 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 90.8 KiB | 2024-10-25 20:54:18 |
| py3-osqp-pyc-0.6.2-r6.apk | 76.3 KiB | 2024-10-25 20:54:18 |
| py3-openapi-codec-1.3.2-r9.apk | 7.4 KiB | 2024-10-25 20:54:18 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.0 KiB | 2024-10-25 20:54:18 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.3 KiB | 2024-10-25 20:54:19 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.3 KiB | 2024-10-25 20:54:19 |
| py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11.1 KiB | 2024-10-25 20:54:19 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.1 KiB | 2024-10-25 20:54:19 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.1 KiB | 2024-10-25 20:54:19 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 3.8 KiB | 2024-10-25 20:54:19 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 46.7 KiB | 2024-10-25 20:54:19 |
| py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12.0 KiB | 2024-10-25 20:54:19 |
| py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 9.8 KiB | 2024-10-25 20:54:19 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.1 KiB | 2024-10-25 20:54:19 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.3 KiB | 2024-10-25 20:54:19 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 85.8 KiB | 2024-10-25 20:54:19 |
| py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.5 KiB | 2024-10-25 20:54:19 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 7.8 KiB | 2024-10-25 20:54:19 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk | 5.2 KiB | 2024-10-25 20:54:19 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16.0 KiB | 2024-10-25 20:54:20 |
| py3-pacparser-pyc-1.4.5-r1.apk | 3.8 KiB | 2024-10-25 20:54:20 |
| py3-pbkdf2-pyc-1.3-r7.apk | 6.8 KiB | 2024-10-25 20:54:20 |
| py3-padacioso-0.2.1-r0.apk | 11.2 KiB | 2024-10-25 20:54:20 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.4 KiB | 2024-10-25 20:54:20 |
| py3-pam-pyc-2.0.2-r2.apk | 12.7 KiB | 2024-10-25 20:54:20 |
| py3-pickle-secure-pyc-0.99.9-r1.apk | 5.1 KiB | 2024-10-25 20:54:20 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.2 KiB | 2024-10-25 20:54:20 |
| py3-print-color-0.4.6-r0.apk | 8.6 KiB | 2024-10-25 20:54:20 |
| py3-proglog-0.1.10-r2.apk | 7.1 KiB | 2024-10-25 20:54:20 |
| py3-print-color-pyc-0.4.6-r0.apk | 4.7 KiB | 2024-10-25 20:54:20 |
| py3-pockethernet-0.7.0-r4.apk | 14.5 KiB | 2024-10-25 20:54:20 |
| py3-pelican-4.9.1-r2.apk | 233.5 KiB | 2024-10-25 20:54:20 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 35.3 KiB | 2024-10-25 20:54:20 |
| py3-pelican-pyc-4.9.1-r2.apk | 147.0 KiB | 2024-10-25 20:54:20 |
| py3-phpserialize-1.3-r8.apk | 8.6 KiB | 2024-10-25 20:54:20 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 12.9 KiB | 2024-10-25 20:54:20 |
| py3-phx-class-registry-5.0.0-r0.apk | 12.9 KiB | 2024-10-25 20:54:20 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4.4 KiB | 2024-10-25 20:54:20 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2.0 KiB | 2024-10-25 20:54:20 |
| py3-phpserialize-pyc-1.3-r8.apk | 10.4 KiB | 2024-10-25 20:54:20 |
| py3-print-color-doc-0.4.6-r0.apk | 2.4 KiB | 2024-10-25 20:54:20 |
| py3-pprintpp-0.4.0-r1.apk | 13.6 KiB | 2024-10-25 20:54:20 |
| py3-pbkdf2-1.3-r7.apk | 6.0 KiB | 2024-10-25 20:54:20 |
| py3-piccata-pyc-2.0.3-r1.apk | 34.0 KiB | 2024-10-25 20:54:20 |
| py3-pickle-secure-0.99.9-r1.apk | 7.2 KiB | 2024-10-25 20:54:20 |
| py3-piccata-2.0.3-r1.apk | 19.8 KiB | 2024-10-25 20:54:20 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 24.9 KiB | 2024-10-25 20:54:20 |
| py3-pure_protobuf-3.1.2-r0.apk | 20.9 KiB | 2024-10-25 20:54:20 |
| py3-pip-system-certs-4.0-r1.apk | 6.7 KiB | 2024-10-25 20:54:20 |
| py3-pillow_heif-0.18.0-r0.apk | 45.3 KiB | 2024-10-25 20:54:20 |
| py3-playsound-pyc-1.3.0-r1.apk | 8.3 KiB | 2024-10-25 20:54:20 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12.0 KiB | 2024-10-25 20:54:20 |
| py3-pep8-naming-0.14.1-r0.apk | 9.5 KiB | 2024-10-25 20:54:20 |
| py3-proglog-pyc-0.1.10-r2.apk | 9.7 KiB | 2024-10-25 20:54:20 |
| py3-pam-2.0.2-r2.apk | 10.9 KiB | 2024-10-25 20:54:20 |
| py3-pacparser-1.4.5-r1.apk | 395.5 KiB | 2024-10-25 20:54:20 |
| py3-playsound-1.3.0-r1.apk | 6.6 KiB | 2024-10-25 20:54:20 |
| py3-pymeta3-0.5.1-r6.apk | 16.5 KiB | 2024-10-25 20:54:21 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 45.0 KiB | 2024-10-25 20:54:21 |
| py3-pylru-pyc-1.2.1-r1.apk | 8.7 KiB | 2024-10-25 20:54:21 |
| py3-pymata4-1.15-r4.apk | 22.8 KiB | 2024-10-25 20:54:21 |
| py3-pygfm-2.0.0-r2.apk | 12.5 KiB | 2024-10-25 20:54:21 |
| py3-pyisbn-1.3.1-r3.apk | 20.2 KiB | 2024-10-25 20:54:21 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9.1 KiB | 2024-10-25 20:54:21 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.7 KiB | 2024-10-25 20:54:21 |
| py3-pymata-2.20-r4.apk | 22.2 KiB | 2024-10-25 20:54:21 |
| py3-pyautogui-0.9.53-r5.apk | 34.8 KiB | 2024-10-25 20:54:21 |
| py3-pygtail-pyc-0.14.0-r3.apk | 9.8 KiB | 2024-10-25 20:54:21 |
| py3-pyparted-3.13.0-r1.apk | 77.8 KiB | 2024-10-25 20:54:21 |
| py3-pymata-pyc-2.20-r4.apk | 29.1 KiB | 2024-10-25 20:54:21 |
| py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-10-25 20:54:21 |
| py3-pymaging-png-0.0.20130727-r10.apk | 34.8 KiB | 2024-10-25 20:54:21 |
| py3-pygtail-0.14.0-r3.apk | 14.7 KiB | 2024-10-25 20:54:21 |
| py3-pydes-pyc-2.0.1-r5.apk | 12.9 KiB | 2024-10-25 20:54:21 |
| py3-pymaging-0.0.20130908-r10.apk | 17.7 KiB | 2024-10-25 20:54:21 |
| py3-pybars3-pyc-0.9.7-r6.apk | 16.6 KiB | 2024-10-25 20:54:21 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 31.3 KiB | 2024-10-25 20:54:21 |
| py3-pydes-2.0.1-r5.apk | 10.4 KiB | 2024-10-25 20:54:21 |
| py3-pymata4-pyc-1.15-r4.apk | 30.6 KiB | 2024-10-25 20:54:21 |
| py3-pycolorterm-0.2.1-r6.apk | 5.2 KiB | 2024-10-25 20:54:21 |
| py3-pycaption-2.2.15-r0.apk | 359.8 KiB | 2024-10-25 20:54:21 |
| py3-pymsgbox-1.0.9-r5.apk | 8.9 KiB | 2024-10-25 20:54:21 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 31.4 KiB | 2024-10-25 20:54:21 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 502.0 KiB | 2024-10-25 20:54:21 |
| py3-pylru-1.2.1-r1.apk | 16.3 KiB | 2024-10-25 20:54:21 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 9.6 KiB | 2024-10-25 20:54:21 |
| py3-pyatem-pyc-0.5.0-r4.apk | 91.4 KiB | 2024-10-25 20:54:21 |
| py3-pyparted-pyc-3.13.0-r1.apk | 41.9 KiB | 2024-10-25 20:54:21 |
| py3-pycosat-0.6.6-r2.apk | 48.4 KiB | 2024-10-25 20:54:21 |
| py3-pygpgme-pyc-0.3.1-r9.apk | 4.8 KiB | 2024-10-25 20:54:21 |
| py3-pybars3-0.9.7-r6.apk | 14.6 KiB | 2024-10-25 20:54:21 |
| py3-pygpgme-0.3.1-r9.apk | 37.9 KiB | 2024-10-25 20:54:21 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3.5 KiB | 2024-10-25 20:54:21 |
| py3-pydes-doc-2.0.1-r5.apk | 3.4 KiB | 2024-10-25 20:54:21 |
| py3-pyatem-0.5.0-r4.apk | 52.8 KiB | 2024-10-25 20:54:21 |
| py3-pygfm-pyc-2.0.0-r2.apk | 12.9 KiB | 2024-10-25 20:54:21 |
| py3-rich-click-1.7.3-r1.apk | 30.5 KiB | 2024-10-25 20:54:22 |
| py3-pytest-expect-1.1.0-r10.apk | 5.6 KiB | 2024-10-25 20:54:22 |
| py3-python-archive-0.2-r7.apk | 7.1 KiB | 2024-10-25 20:54:22 |
| py3-pytest-metadata-3.1.1-r0.apk | 9.9 KiB | 2024-10-25 20:54:22 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8.2 KiB | 2024-10-25 20:54:22 |
| py3-rfc-bibtex-0.3.2-r7.apk | 12.6 KiB | 2024-10-25 20:54:22 |
| py3-pypubsub-4.0.3-r0.apk | 52.1 KiB | 2024-10-25 20:54:22 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17.2 KiB | 2024-10-25 20:54:22 |
| py3-rich-click-pyc-1.7.3-r1.apk | 40.1 KiB | 2024-10-25 20:54:22 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 77.9 KiB | 2024-10-25 20:54:22 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.3 KiB | 2024-10-25 20:54:22 |
| py3-redmine-pyc-2.5.0-r0.apk | 53.3 KiB | 2024-10-25 20:54:22 |
| py3-rabbit-1.1.0-r8.apk | 10.7 KiB | 2024-10-25 20:54:22 |
| py3-pypubsub-doc-4.0.3-r0.apk | 1.9 KiB | 2024-10-25 20:54:22 |
| py3-qasync-0.19.0-r2.apk | 36.6 KiB | 2024-10-25 20:54:22 |
| py3-python-stdnum-1.20-r0.apk | 805.5 KiB | 2024-10-25 20:54:22 |
| py3-pytest-html-4.1.1-r1.apk | 21.4 KiB | 2024-10-25 20:54:22 |
| py3-pytap2-pyc-2.3.0-r0.apk | 5.7 KiB | 2024-10-25 20:54:22 |
| py3-pystache-pyc-0.6.5-r1.apk | 96.4 KiB | 2024-10-25 20:54:22 |
| py3-qpageview-0.6.2-r1.apk | 97.8 KiB | 2024-10-25 20:54:22 |
| py3-radon-pyc-6.0.1-r2.apk | 49.3 KiB | 2024-10-25 20:54:22 |
| py3-pytest-home-0.6.0-r0.apk | 4.3 KiB | 2024-10-25 20:54:22 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 47.0 KiB | 2024-10-25 20:54:22 |
| py3-qpageview-pyc-0.6.2-r1.apk | 180.0 KiB | 2024-10-25 20:54:22 |
| py3-pyroma-4.2-r0.apk | 21.6 KiB | 2024-10-25 20:54:22 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15.2 KiB | 2024-10-25 20:54:22 |
| py3-qt.py-1.3.10-r1.apk | 32.4 KiB | 2024-10-25 20:54:22 |
| py3-pyspinel-1.0.3-r1.apk | 55.8 KiB | 2024-10-25 20:54:22 |
| py3-quebra-frases-0.3.7-r1.apk | 8.6 KiB | 2024-10-25 20:54:22 |
| py3-pyroma-pyc-4.2-r0.apk | 25.7 KiB | 2024-10-25 20:54:22 |
| py3-pystache-0.6.5-r1.apk | 67.8 KiB | 2024-10-25 20:54:22 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 89.7 KiB | 2024-10-25 20:54:22 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.3 KiB | 2024-10-25 20:54:22 |
| py3-recommonmark-0.7.1-r4.apk | 11.5 KiB | 2024-10-25 20:54:22 |
| py3-python-logstash-0.4.8-r4.apk | 8.3 KiB | 2024-10-25 20:54:22 |
| py3-python-archive-pyc-0.2-r7.apk | 9.1 KiB | 2024-10-25 20:54:22 |
| py3-python-stdnum-pyc-1.20-r0.apk | 293.2 KiB | 2024-10-25 20:54:22 |
| py3-redmine-2.5.0-r0.apk | 36.5 KiB | 2024-10-25 20:54:22 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2.0 KiB | 2024-10-25 20:54:22 |
| py3-qt.py-pyc-1.3.10-r1.apk | 24.4 KiB | 2024-10-25 20:54:22 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.6 KiB | 2024-10-25 20:54:22 |
| py3-qpageview-doc-0.6.2-r1.apk | 56.0 KiB | 2024-10-25 20:54:22 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10.5 KiB | 2024-10-25 20:54:22 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 62.8 KiB | 2024-10-25 20:54:22 |
| py3-qdldl-0.1.5-r4.apk | 101.8 KiB | 2024-10-25 20:54:22 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19.2 KiB | 2024-10-25 20:54:22 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.2 KiB | 2024-10-25 20:54:22 |
| py3-pysimplesoap-1.16.2-r7.apk | 43.4 KiB | 2024-10-25 20:54:22 |
| py3-pytap2-2.3.0-r0.apk | 6.7 KiB | 2024-10-25 20:54:22 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 13.9 KiB | 2024-10-25 20:54:22 |
| py3-pyqrcode-1.2.1-r0.apk | 36.3 KiB | 2024-10-25 20:54:22 |
| py3-riotctrl-0.5.0-r4.apk | 12.8 KiB | 2024-10-25 20:54:22 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.3 KiB | 2024-10-25 20:54:22 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 6.7 KiB | 2024-10-25 20:54:22 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 21.5 KiB | 2024-10-25 20:54:22 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 7.6 KiB | 2024-10-25 20:54:22 |
| py3-pyscreeze-0.1.29-r3.apk | 13.8 KiB | 2024-10-25 20:54:22 |
| py3-pytap2-doc-2.3.0-r0.apk | 2.5 KiB | 2024-10-25 20:54:22 |
| py3-radon-6.0.1-r2.apk | 31.3 KiB | 2024-10-25 20:54:22 |
| py3-rosdistro-0.9.0-r3.apk | 46.8 KiB | 2024-10-25 20:54:22 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2.5 KiB | 2024-10-25 20:54:22 |
| py3-radon-doc-6.0.1-r2.apk | 4.9 KiB | 2024-10-25 20:54:22 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4.0 KiB | 2024-10-25 20:54:22 |
| py3-simplesoapy-1.5.1-r7.apk | 7.6 KiB | 2024-10-25 20:54:23 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 26.3 KiB | 2024-10-25 20:54:23 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 91.0 KiB | 2024-10-25 20:54:23 |
| py3-sphinx-argparse-0.5.2-r0.apk | 13.9 KiB | 2024-10-25 20:54:23 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 23.7 KiB | 2024-10-25 20:54:23 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 729.2 KiB | 2024-10-25 20:54:23 |
| py3-simplesat-pyc-0.8.2-r0.apk | 156.3 KiB | 2024-10-25 20:54:23 |
| py3-rospkg-1.2.9-r5.apk | 28.5 KiB | 2024-10-25 20:54:23 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10.3 KiB | 2024-10-25 20:54:23 |
| py3-rospkg-pyc-1.2.9-r5.apk | 53.9 KiB | 2024-10-25 20:54:23 |
| py3-rst-pyc-0.1-r9.apk | 5.8 KiB | 2024-10-25 20:54:23 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 25.5 KiB | 2024-10-25 20:54:23 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 5.7 KiB | 2024-10-25 20:54:23 |
| py3-shodan-1.31.0-r1.apk | 43.8 KiB | 2024-10-25 20:54:23 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 7.8 KiB | 2024-10-25 20:54:23 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 13.6 KiB | 2024-10-25 20:54:23 |
| py3-solidpython-1.1.2-r2.apk | 78.4 KiB | 2024-10-25 20:54:23 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 1.7 KiB | 2024-10-25 20:54:23 |
| py3-rst2ansi-0.1.5-r0.apk | 11.9 KiB | 2024-10-25 20:54:23 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 21.9 KiB | 2024-10-25 20:54:23 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6.4 KiB | 2024-10-25 20:54:23 |
| py3-shodan-doc-1.31.0-r1.apk | 6.9 KiB | 2024-10-25 20:54:23 |
| py3-rst.linker-2.6.0-r0.apk | 5.8 KiB | 2024-10-25 20:54:23 |
| py3-shodan-pyc-1.31.0-r1.apk | 78.9 KiB | 2024-10-25 20:54:23 |
| py3-setuptools-lint-0.6.0-r9.apk | 5.2 KiB | 2024-10-25 20:54:23 |
| py3-snapshottest-0.6.0-r5.apk | 14.9 KiB | 2024-10-25 20:54:23 |
| py3-scs-3.2.3-r4.apk | 118.3 KiB | 2024-10-25 20:54:23 |
| py3-rst-0.1-r9.apk | 5.3 KiB | 2024-10-25 20:54:23 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5.5 KiB | 2024-10-25 20:54:23 |
| py3-simplespectral-1.0.0-r5.apk | 7.2 KiB | 2024-10-25 20:54:23 |
| py3-simplematch-pyc-1.4-r1.apk | 5.5 KiB | 2024-10-25 20:54:23 |
| py3-simplematch-1.4-r1.apk | 7.7 KiB | 2024-10-25 20:54:23 |
| py3-scour-pyc-0.38.2-r1.apk | 73.5 KiB | 2024-10-25 20:54:23 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 42.0 KiB | 2024-10-25 20:54:23 |
| py3-solidpython-pyc-1.1.2-r2.apk | 119.7 KiB | 2024-10-25 20:54:23 |
| py3-sortedcollections-2.1.0-r5.apk | 10.4 KiB | 2024-10-25 20:54:23 |
| py3-scour-0.38.2-r1.apk | 56.1 KiB | 2024-10-25 20:54:23 |
| py3-spake2-pyc-0.9-r0.apk | 43.3 KiB | 2024-10-25 20:54:23 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2.0 KiB | 2024-10-25 20:54:23 |
| py3-simplesat-0.8.2-r0.apk | 213.8 KiB | 2024-10-25 20:54:23 |
| py3-slixmpp-1.8.5-r2.apk | 382.9 KiB | 2024-10-25 20:54:23 |
| py3-scs-pyc-3.2.3-r4.apk | 4.7 KiB | 2024-10-25 20:54:23 |
| py3-rpio-pyc-0.10.1-r8.apk | 15.7 KiB | 2024-10-25 20:54:23 |
| py3-spake2-0.9-r0.apk | 29.9 KiB | 2024-10-25 20:54:23 |
| py3-rpio-0.10.1-r8.apk | 39.1 KiB | 2024-10-25 20:54:23 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 11.5 KiB | 2024-10-25 20:54:23 |
| py3-soapy_power-1.6.1-r5.apk | 17.2 KiB | 2024-10-25 20:54:23 |
| py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-10-25 20:54:23 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk | 43.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.3 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 5.8 KiB | 2024-10-25 20:54:24 |
| py3-sstash-0.17-r9.apk | 7.5 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.1 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 8.9 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.1 KiB | 2024-10-25 20:54:24 |
| py3-sstash-pyc-0.17-r9.apk | 10.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 11.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.5 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.7 KiB | 2024-10-25 20:54:24 |
| py3-spinners-pyc-0.0.24-r5.apk | 6.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 3.9 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk | 21.1 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 8.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 14.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.2 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.1 KiB | 2024-10-25 20:54:24 |
| py3-spidev-3.6-r1.apk | 13.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.2 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.5 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 3.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 10.9 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.3 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.7 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 10.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-git-11.0.0-r7.apk | 16.9 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.2 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.2 KiB | 2024-10-25 20:54:24 |
| py3-spin-pyc-0.8-r0.apk | 24.2 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.3 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.1 KiB | 2024-10-25 20:54:24 |
| py3-spinners-0.0.24-r5.apk | 5.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 17.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 8.9 KiB | 2024-10-25 20:54:24 |
| py3-spin-0.8-r0.apk | 18.3 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 14.8 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 64.5 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 8.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.5 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.6 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.0 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.1 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 2.9 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.3 KiB | 2024-10-25 20:54:24 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.2 KiB | 2024-10-25 20:54:24 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.3 KiB | 2024-10-25 20:54:24 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6.1 KiB | 2024-10-25 20:54:25 |
| py3-tailer-pyc-0.4.1-r7.apk | 6.4 KiB | 2024-10-25 20:54:25 |
| py3-testresources-2.0.1-r6.apk | 17.1 KiB | 2024-10-25 20:54:25 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 8.7 KiB | 2024-10-25 20:54:25 |
| py3-thefuzz-0.22.1-r1.apk | 9.8 KiB | 2024-10-25 20:54:25 |
| py3-translationstring-1.4-r4.apk | 8.9 KiB | 2024-10-25 20:54:25 |
| py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 1.8 KiB | 2024-10-25 20:54:25 |
| py3-transitions-pyc-0.9.2-r0.apk | 128.5 KiB | 2024-10-25 20:54:25 |
| py3-telegram-text-0.2.0-r1.apk | 8.9 KiB | 2024-10-25 20:54:25 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.5 KiB | 2024-10-25 20:54:25 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 235.8 KiB | 2024-10-25 20:54:25 |
| py3-ticket-auth-0.1.4-r9.apk | 5.7 KiB | 2024-10-25 20:54:25 |
| py3-testresources-pyc-2.0.1-r6.apk | 15.7 KiB | 2024-10-25 20:54:25 |
| py3-timeago-doc-1.0.16-r0.apk | 2.6 KiB | 2024-10-25 20:54:25 |
| py3-timeago-pyc-1.0.16-r0.apk | 27.4 KiB | 2024-10-25 20:54:25 |
| py3-telemetrix-pyc-1.20-r3.apk | 30.8 KiB | 2024-10-25 20:54:25 |
| py3-teletype-1.3.4-r3.apk | 15.2 KiB | 2024-10-25 20:54:25 |
| py3-telemetrix-1.20-r3.apk | 20.7 KiB | 2024-10-25 20:54:25 |
| py3-tlslite-ng-0.7.6-r8.apk | 178.2 KiB | 2024-10-25 20:54:25 |
| py3-translationstring-pyc-1.4-r4.apk | 8.5 KiB | 2024-10-25 20:54:25 |
| py3-timeago-1.0.16-r0.apk | 23.3 KiB | 2024-10-25 20:54:25 |
| py3-tasklib-pyc-2.5.1-r2.apk | 51.3 KiB | 2024-10-25 20:54:25 |
| py3-transitions-0.9.2-r0.apk | 97.5 KiB | 2024-10-25 20:54:25 |
| py3-teletype-pyc-1.3.4-r3.apk | 20.3 KiB | 2024-10-25 20:54:25 |
| py3-tailer-0.4.1-r7.apk | 6.6 KiB | 2024-10-25 20:54:25 |
| py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-10-25 20:54:25 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 12.7 KiB | 2024-10-25 20:54:25 |
| py3-tpm2-pytss-2.3.0-r1.apk | 276.4 KiB | 2024-10-25 20:54:25 |
| py3-tasklib-2.5.1-r2.apk | 22.8 KiB | 2024-10-25 20:54:25 |
| py3-visitor-pyc-0.1.3-r7.apk | 2.4 KiB | 2024-10-25 20:54:26 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 1.9 KiB | 2024-10-25 20:54:26 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16.0 KiB | 2024-10-25 20:54:26 |
| py3-uacme-desec-1.2.1-r0.apk | 5.4 KiB | 2024-10-25 20:54:26 |
| py3-wtf-peewee-3.0.6-r0.apk | 12.5 KiB | 2024-10-25 20:54:26 |
| py3-wsgiprox-1.5.2-r1.apk | 16.4 KiB | 2024-10-25 20:54:26 |
| py3-wg-netns-2.3.1-r1.apk | 7.3 KiB | 2024-10-25 20:54:26 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.4 KiB | 2024-10-25 20:54:26 |
| py3-trivup-0.12.2-r2.apk | 33.8 KiB | 2024-10-25 20:54:26 |
| py3-wstools-0.4.10-r7.apk | 52.9 KiB | 2024-10-25 20:54:26 |
| py3-wstools-pyc-0.4.10-r7.apk | 110.5 KiB | 2024-10-25 20:54:26 |
| py3-vatnumber-1.2-r9.apk | 18.4 KiB | 2024-10-25 20:54:26 |
| py3-us-pyc-3.2.0-r0.apk | 14.9 KiB | 2024-10-25 20:54:26 |
| py3-utc-0.0.3-r9.apk | 3.2 KiB | 2024-10-25 20:54:26 |
| py3-utc-pyc-0.0.3-r9.apk | 2.5 KiB | 2024-10-25 20:54:26 |
| py3-u-msgpack-2.8.0-r2.apk | 10.6 KiB | 2024-10-25 20:54:26 |
| py3-trivup-pyc-0.12.2-r2.apk | 54.6 KiB | 2024-10-25 20:54:26 |
| py3-visitor-0.1.3-r7.apk | 4.4 KiB | 2024-10-25 20:54:26 |
| py3-zipfile2-0.0.12-r0.apk | 44.9 KiB | 2024-10-25 20:54:26 |
| py3-wifi-0.3.8-r7.apk | 12.7 KiB | 2024-10-25 20:54:26 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 60.8 KiB | 2024-10-25 20:54:26 |
| py3-us-3.2.0-r0.apk | 14.1 KiB | 2024-10-25 20:54:26 |
| py3-wifi-pyc-0.3.8-r7.apk | 13.5 KiB | 2024-10-25 20:54:26 |
| py3-yapsy-1.12.2-r7.apk | 32.0 KiB | 2024-10-25 20:54:26 |
| py3-wbdata-pyc-1.0.0-r1.apk | 19.3 KiB | 2024-10-25 20:54:26 |
| py3-twiggy-0.5.1-r4.apk | 23.9 KiB | 2024-10-25 20:54:26 |
| py3-yapsy-pyc-1.12.2-r7.apk | 46.9 KiB | 2024-10-25 20:54:26 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6.5 KiB | 2024-10-25 20:54:26 |
| py3-twiggy-pyc-0.5.1-r4.apk | 38.4 KiB | 2024-10-25 20:54:26 |
| py3-urlobject-pyc-2.4.3-r9.apk | 24.4 KiB | 2024-10-25 20:54:26 |
| py3-ward-0.67.0_beta0-r2.apk | 40.5 KiB | 2024-10-25 20:54:26 |
| py3-vatnumber-pyc-1.2-r9.apk | 8.4 KiB | 2024-10-25 20:54:26 |
| py3-uptime-3.0.1-r9.apk | 9.8 KiB | 2024-10-25 20:54:26 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 28.7 KiB | 2024-10-25 20:54:26 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 79.3 KiB | 2024-10-25 20:54:26 |
| py3-wbdata-1.0.0-r1.apk | 18.2 KiB | 2024-10-25 20:54:26 |
| py3-zope-schema-7.0.1-r3.apk | 45.0 KiB | 2024-10-25 20:54:26 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 27.9 KiB | 2024-10-25 20:54:26 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13.1 KiB | 2024-10-25 20:54:26 |
| py3-urlobject-2.4.3-r9.apk | 14.5 KiB | 2024-10-25 20:54:26 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 14.0 KiB | 2024-10-25 20:54:26 |
| py3-typing_inspect-0.9.0-r2.apk | 9.7 KiB | 2024-10-25 20:54:26 |
| py3-uptime-pyc-3.0.1-r9.apk | 8.4 KiB | 2024-10-25 20:54:26 |
| qdjango-dev-0.6.2-r1.apk | 13.8 KiB | 2024-10-25 20:54:30 |
| qdjango-0.6.2-r1.apk | 105.5 KiB | 2024-10-25 20:54:30 |
| qml-box2d-0_git20180406-r0.apk | 148.9 KiB | 2024-10-25 20:54:30 |
| qflipper-1.3.3-r1.apk | 524.7 KiB | 2024-10-25 20:54:30 |
| qflipper-gui-1.3.3-r1.apk | 1.1 MiB | 2024-10-25 20:54:30 |
| qoi-dev-0.0.0_git20230312-r0.apk | 6.6 KiB | 2024-10-25 20:54:30 |
| pyradio-doc-0.9.3.11-r0.apk | 112.4 KiB | 2024-10-25 20:54:30 |
| qoiconv-0.0.0_git20230312-r0.apk | 30.9 KiB | 2024-10-25 20:54:30 |
| pyradio-0.9.3.11-r0.apk | 870.5 KiB | 2024-10-25 20:54:30 |
| qspectrumanalyzer-2.2.0-r5.apk | 53.6 KiB | 2024-10-25 20:54:30 |
| pyradio-pyc-0.9.3.11-r0.apk | 810.1 KiB | 2024-10-25 20:54:30 |
| qqc2-suru-style-0.20230206-r1.apk | 174.8 KiB | 2024-10-25 20:54:30 |
| qoi-0.0.0_git20230312-r0.apk | 1.2 KiB | 2024-10-25 20:54:30 |
| qtpass-1.4.0-r0.apk | 424.2 KiB | 2024-10-25 20:54:31 |
| queercat-1.0.0-r0.apk | 8.0 KiB | 2024-10-25 20:54:31 |
| quakespasm-0.96.3-r0.apk | 560.1 KiB | 2024-10-25 20:54:31 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.0 KiB | 2024-10-25 20:54:31 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.7 KiB | 2024-10-25 20:54:31 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 12.9 KiB | 2024-10-25 20:54:31 |
| qtpass-doc-1.4.0-r0.apk | 1.9 KiB | 2024-10-25 20:54:31 |
| qsstv-9.5.8-r2.apk | 1.0 MiB | 2024-10-25 20:54:31 |
| rathole-0.5.0-r0.apk | 1.4 MiB | 2024-10-25 20:54:33 |
| razercfg-pyc-0.42-r7.apk | 35.4 KiB | 2024-10-25 20:54:34 |
| rauc-1.10.1-r0.apk | 144.0 KiB | 2024-10-25 20:54:34 |
| razercfg-gui-0.42-r7.apk | 18.7 KiB | 2024-10-25 20:54:34 |
| rattler-build-zsh-completion-0.18.0-r0.apk | 5.2 KiB | 2024-10-25 20:54:34 |
| rdedup-3.2.1-r5.apk | 860.7 KiB | 2024-10-25 20:54:34 |
| rauc-service-1.10.1-r0.apk | 3.5 KiB | 2024-10-25 20:54:34 |
| razercfg-0.42-r7.apk | 88.1 KiB | 2024-10-25 20:54:34 |
| rattler-build-bash-completion-0.18.0-r0.apk | 3.4 KiB | 2024-10-25 20:54:34 |
| rattler-build-fish-completion-0.18.0-r0.apk | 4.5 KiB | 2024-10-25 20:54:34 |
| rattler-build-doc-0.18.0-r0.apk | 6.5 KiB | 2024-10-25 20:54:34 |
| rauc-doc-1.10.1-r0.apk | 3.9 KiB | 2024-10-25 20:54:34 |
| rclone-browser-1.8.0-r1.apk | 331.2 KiB | 2024-10-25 20:54:34 |
| razercfg-openrc-0.42-r7.apk | 1.5 KiB | 2024-10-25 20:54:34 |
| rattler-build-0.18.0-r0.apk | 6.1 MiB | 2024-10-25 20:54:34 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 449.2 KiB | 2024-10-25 20:54:38 |
| restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-10-25 20:54:41 |
| rezolus-2.11.1-r3.apk | 924.5 KiB | 2024-10-25 20:54:41 |
| remake-make-1.5-r1.apk | 1.3 KiB | 2024-10-25 20:54:41 |
| repgrep-doc-0.15.0-r0.apk | 6.2 KiB | 2024-10-25 20:54:41 |
| repgrep-zsh-completion-0.15.0-r0.apk | 1.4 KiB | 2024-10-25 20:54:41 |
| remake-1.5-r1.apk | 142.0 KiB | 2024-10-25 20:54:41 |
| restic.mk-0.4.0-r0.apk | 2.7 KiB | 2024-10-25 20:54:41 |
| rezolus-doc-2.11.1-r3.apk | 3.1 KiB | 2024-10-25 20:54:41 |
| remake-doc-1.5-r1.apk | 201.4 KiB | 2024-10-25 20:54:41 |
| restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-10-25 20:54:41 |
| remind-caldav-0.8.0-r4.apk | 17.4 KiB | 2024-10-25 20:54:41 |
| remake-dev-1.5-r1.apk | 2.7 KiB | 2024-10-25 20:54:41 |
| repgrep-fish-completion-0.15.0-r0.apk | 3.9 KiB | 2024-10-25 20:54:41 |
| repgrep-bash-completion-0.15.0-r0.apk | 1.4 KiB | 2024-10-25 20:54:41 |
| remind-caldav-pyc-0.8.0-r4.apk | 5.9 KiB | 2024-10-25 20:54:41 |
| repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-10-25 20:54:41 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 72.6 KiB | 2024-10-25 20:54:42 |
| rinetd-0.73-r0.apk | 15.1 KiB | 2024-10-25 20:54:42 |
| rinetd-doc-0.73-r0.apk | 16.2 KiB | 2024-10-25 20:54:42 |
| rgxg-dev-0.1.2-r2.apk | 3.3 KiB | 2024-10-25 20:54:42 |
| rgxg-doc-0.1.2-r2.apk | 12.1 KiB | 2024-10-25 20:54:42 |
| rezolus-openrc-2.11.1-r3.apk | 1.8 KiB | 2024-10-25 20:54:42 |
| rgxg-0.1.2-r2.apk | 15.1 KiB | 2024-10-25 20:54:42 |
| rinetd-openrc-0.73-r0.apk | 1.5 KiB | 2024-10-25 20:54:42 |
| riemann-cli-0.8.0-r2.apk | 548.8 KiB | 2024-10-25 20:54:42 |
| rhasspy-nlu-0.4.0-r3.apk | 43.3 KiB | 2024-10-25 20:54:42 |
| rofi-json-menu-0.2.0-r1.apk | 5.6 KiB | 2024-10-25 20:54:45 |
| rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-10-25 20:54:45 |
| rmlint-doc-2.10.2-r2.apk | 17.6 KiB | 2024-10-25 20:54:45 |
| rmlint-lang-2.10.2-r2.apk | 18.6 KiB | 2024-10-25 20:54:45 |
| rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-10-25 20:54:45 |
| rmlint-2.10.2-r2.apk | 149.6 KiB | 2024-10-25 20:54:45 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 124.2 KiB | 2024-10-25 20:54:45 |
| rmlint-shredder-2.10.2-r2.apk | 95.7 KiB | 2024-10-25 20:54:45 |
| rtl-power-fftw-20200601-r4.apk | 62.0 KiB | 2024-10-25 20:54:46 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-10-25 20:54:46 |
| rosdep-0.19.0-r6.apk | 65.9 KiB | 2024-10-25 20:54:46 |
| rtmidi-dev-6.0.0-r0.apk | 13.9 KiB | 2024-10-25 20:54:46 |
| rtw89-src-7_p20230725-r0.apk | 758.5 KiB | 2024-10-25 20:54:46 |
| rosdep-pyc-0.19.0-r6.apk | 118.7 KiB | 2024-10-25 20:54:46 |
| rtmidi-6.0.0-r0.apk | 31.2 KiB | 2024-10-25 20:54:46 |
| rtptools-1.22-r2.apk | 39.7 KiB | 2024-10-25 20:54:46 |
| rpg-cli-1.2.0-r0.apk | 638.8 KiB | 2024-10-25 20:54:46 |
| rtptools-doc-1.22-r2.apk | 12.4 KiB | 2024-10-25 20:54:46 |
| rtl-power-fftw-doc-20200601-r4.apk | 7.9 KiB | 2024-10-25 20:54:46 |
| rustic-bash-completion-0.9.3-r0.apk | 8.4 KiB | 2024-10-25 20:54:47 |
| rustic-0.9.3-r0.apk | 6.2 MiB | 2024-10-25 20:54:47 |
| rustic-fish-completion-0.9.3-r0.apk | 16.4 KiB | 2024-10-25 20:54:47 |
| rustic-zsh-completion-0.9.3-r0.apk | 12.8 KiB | 2024-10-25 20:54:47 |
| saait-doc-0.8-r0.apk | 12.4 KiB | 2024-10-25 20:54:48 |
| s-dkim-sign-0.6.2-r0.apk | 62.2 KiB | 2024-10-25 20:54:48 |
| ry-0.5.2-r1.apk | 4.4 KiB | 2024-10-25 20:54:48 |
| s-postgray-doc-0.8.3-r0.apk | 9.3 KiB | 2024-10-25 20:54:48 |
| ry-zsh-completion-0.5.2-r1.apk | 2.0 KiB | 2024-10-25 20:54:48 |
| saait-0.8-r0.apk | 7.4 KiB | 2024-10-25 20:54:48 |
| rvlprog-0.91-r2.apk | 28.5 KiB | 2024-10-25 20:54:48 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8.3 KiB | 2024-10-25 20:54:48 |
| ry-bash-completion-0.5.2-r1.apk | 1.7 KiB | 2024-10-25 20:54:48 |
| s-postgray-0.8.3-r0.apk | 50.2 KiB | 2024-10-25 20:54:48 |
| sblim-wbemcli-1.6.3-r1.apk | 99.8 KiB | 2024-10-25 20:56:14 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22.0 KiB | 2024-10-25 20:56:14 |
| sblim-sfcc-2.2.8-r3.apk | 56.6 KiB | 2024-10-25 20:56:14 |
| sbase-doc-0_git20210730-r3.apk | 58.0 KiB | 2024-10-25 20:56:14 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4.3 KiB | 2024-10-25 20:56:14 |
| sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-10-25 20:56:14 |
| sbase-0_git20210730-r3.apk | 126.0 KiB | 2024-10-25 20:56:14 |
| sblg-0.5.11-r0.apk | 40.4 KiB | 2024-10-25 20:56:14 |
| sblim-sfcc-doc-2.2.8-r3.apk | 34.3 KiB | 2024-10-25 20:56:14 |
| sct-2018.12.18-r1.apk | 4.2 KiB | 2024-10-25 20:56:16 |
| scap-workbench-1.2.1-r3.apk | 235.2 KiB | 2024-10-25 20:56:16 |
| scooper-doc-1.3-r1.apk | 2.3 KiB | 2024-10-25 20:56:16 |
| scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-10-25 20:56:16 |
| scooper-1.3-r1.apk | 530.5 KiB | 2024-10-25 20:56:16 |
| sdparm-1.12-r1.apk | 147.7 KiB | 2024-10-25 20:56:19 |
| sdparm-doc-1.12-r1.apk | 19.1 KiB | 2024-10-25 20:56:19 |
| sedutil-doc-1.15.1-r1.apk | 2.8 KiB | 2024-10-25 20:56:20 |
| sedutil-1.15.1-r1.apk | 183.7 KiB | 2024-10-25 20:56:20 |
| sflowtool-6.02-r0.apk | 40.6 KiB | 2024-10-25 20:56:21 |
| simavr-1.7-r1.apk | 104.2 KiB | 2024-10-25 20:56:21 |
| shadowsocks-libev-dev-3.3.5-r4.apk | 3.2 KiB | 2024-10-25 20:56:21 |
| shipments-0.3.0-r0.apk | 23.5 KiB | 2024-10-25 20:56:21 |
| sigrok-cli-0.7.2-r0.apk | 39.5 KiB | 2024-10-25 20:56:21 |
| sflowtool-doc-6.02-r0.apk | 9.1 KiB | 2024-10-25 20:56:21 |
| sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-10-25 20:56:21 |
| shc-4.0.3-r2.apk | 17.0 KiB | 2024-10-25 20:56:21 |
| sgt-puzzles-0_git20230310-r2.apk | 2.8 MiB | 2024-10-25 20:56:21 |
| shine-3.1.1-r0.apk | 65.8 KiB | 2024-10-25 20:56:21 |
| setroot-2.0.2-r1.apk | 12.1 KiB | 2024-10-25 20:56:21 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1.5 KiB | 2024-10-25 20:56:21 |
| sigma-0.23.1-r1.apk | 236.5 KiB | 2024-10-25 20:56:21 |
| shellinabox-2.21-r3.apk | 118.6 KiB | 2024-10-25 20:56:21 |
| sigma-pyc-0.23.1-r1.apk | 339.8 KiB | 2024-10-25 20:56:21 |
| shellinabox-doc-2.21-r3.apk | 19.1 KiB | 2024-10-25 20:56:21 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-10-25 20:56:21 |
| setroot-doc-2.0.2-r1.apk | 4.2 KiB | 2024-10-25 20:56:21 |
| shadowsocks-libev-3.3.5-r4.apk | 218.8 KiB | 2024-10-25 20:56:21 |
| shadowsocks-libev-doc-3.3.5-r4.apk | 27.7 KiB | 2024-10-25 20:56:21 |
| shellinabox-openrc-2.21-r3.apk | 3.3 KiB | 2024-10-25 20:56:21 |
| simavr-dev-1.7-r1.apk | 806.9 KiB | 2024-10-25 20:56:22 |
| simh-3.11.1-r1.apk | 3.6 MiB | 2024-10-25 20:56:22 |
| sipgrep-2.2.0-r1.apk | 26.4 KiB | 2024-10-25 20:56:26 |
| sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-10-25 20:56:27 |
| snore-0.3.1-r0.apk | 4.6 KiB | 2024-10-25 20:56:27 |
| slurm-0.4.4-r0.apk | 14.6 KiB | 2024-10-25 20:56:27 |
| snore-doc-0.3.1-r0.apk | 2.8 KiB | 2024-10-25 20:56:27 |
| sloccount-2.26-r3.apk | 71.7 KiB | 2024-10-25 20:56:27 |
| slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-10-25 20:56:27 |
| sopwith-2.5.0-r0.apk | 51.2 KiB | 2024-10-25 20:56:28 |
| somebar-1.0.3-r0.apk | 48.7 KiB | 2024-10-25 20:56:28 |
| sopwith-doc-2.5.0-r0.apk | 14.6 KiB | 2024-10-25 20:56:28 |
| somebar-doc-1.0.3-r0.apk | 2.2 KiB | 2024-10-25 20:56:28 |
| so-0.4.10-r0.apk | 2.1 MiB | 2024-10-25 20:56:28 |
| speedtest-doc-5.2.5-r1.apk | 18.1 KiB | 2024-10-25 20:56:32 |
| speedtest-examples-5.2.5-r1.apk | 12.7 KiB | 2024-10-25 20:56:32 |
| speedtest-5.2.5-r1.apk | 253.2 KiB | 2024-10-25 20:56:32 |
| speedcrunch-0.12-r3.apk | 1.2 MiB | 2024-10-25 20:56:32 |
| spark-2.8.3-r1.apk | 28.9 MiB | 2024-10-25 20:56:32 |
| spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-10-25 20:56:33 |
| spike-1.1.0-r0.apk | 1.5 MiB | 2024-10-25 20:56:33 |
| spread-sheet-widget-dev-0.10-r0.apk | 358.5 KiB | 2024-10-25 20:56:34 |
| spotify-tui-0.25.0-r2.apk | 1.9 MiB | 2024-10-25 20:56:34 |
| spread-sheet-widget-dbg-0.10-r0.apk | 191.3 KiB | 2024-10-25 20:56:34 |
| spread-sheet-widget-doc-0.10-r0.apk | 4.4 KiB | 2024-10-25 20:56:34 |
| spread-sheet-widget-0.10-r0.apk | 48.0 KiB | 2024-10-25 20:56:34 |
| sqlar-doc-0_git20180107-r1.apk | 3.0 KiB | 2024-10-25 20:56:35 |
| sqlar-0_git20180107-r1.apk | 14.1 KiB | 2024-10-25 20:56:35 |
| sqliteodbc-0.99991-r0.apk | 93.0 KiB | 2024-10-25 20:56:35 |
| sqm-scripts-1.6.0-r0.apk | 20.2 KiB | 2024-10-25 20:56:36 |
| sregex-dev-0.0.1-r1.apk | 29.1 KiB | 2024-10-25 20:56:48 |
| ssdfs-tools-dev-4.09-r0.apk | 18.2 KiB | 2024-10-25 20:56:48 |
| sregex-0.0.1-r1.apk | 24.7 KiB | 2024-10-25 20:56:48 |
| ssdfs-tools-4.09-r0.apk | 97.2 KiB | 2024-10-25 20:56:48 |
| sshuttle-pyc-1.1.2-r0.apk | 100.4 KiB | 2024-10-25 20:56:49 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 1.8 KiB | 2024-10-25 20:56:49 |
| ssh-honeypot-0.1.1-r1.apk | 8.8 KiB | 2024-10-25 20:56:49 |
| ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-10-25 20:56:49 |
| sshuttle-1.1.2-r0.apk | 62.0 KiB | 2024-10-25 20:56:49 |
| ssh-tools-1.8-r0.apk | 25.3 KiB | 2024-10-25 20:56:49 |
| sshuttle-doc-1.1.2-r0.apk | 8.2 KiB | 2024-10-25 20:56:49 |
| ssss-0.5.7-r0.apk | 14.2 KiB | 2024-10-25 20:56:49 |
| startup-fish-completion-2.0.3-r5.apk | 5.1 KiB | 2024-10-25 20:56:56 |
| startup-tools-2.0.3-r5.apk | 13.1 KiB | 2024-10-25 20:56:56 |
| startup-bridge-dconf-2.0.3-r5.apk | 29.2 KiB | 2024-10-25 20:56:56 |
| startup-2.0.3-r5.apk | 403.4 KiB | 2024-10-25 20:56:56 |
| startup-doc-2.0.3-r5.apk | 47.3 KiB | 2024-10-25 20:56:56 |
| starfighter-doc-2.4-r0.apk | 21.3 KiB | 2024-10-25 20:56:56 |
| startup-lang-2.0.3-r5.apk | 16.3 KiB | 2024-10-25 20:56:56 |
| startup-bridge-udev-2.0.3-r5.apk | 29.0 KiB | 2024-10-25 20:56:56 |
| startup-dev-2.0.3-r5.apk | 5.6 KiB | 2024-10-25 20:56:56 |
| starfighter-2.4-r0.apk | 47.9 MiB | 2024-10-25 20:56:56 |
| steghide-0.5.1.1-r0.apk | 157.0 KiB | 2024-10-25 20:56:57 |
| steghide-doc-0.5.1.1-r0.apk | 13.5 KiB | 2024-10-25 20:56:57 |
| sthttpd-2.27.1-r2.apk | 64.0 KiB | 2024-10-25 20:56:59 |
| stgit-zsh-completion-2.4.7-r1.apk | 23.3 KiB | 2024-10-25 20:56:59 |
| stgit-vim-2.4.7-r1.apk | 3.2 KiB | 2024-10-25 20:56:59 |
| stgit-bash-completion-2.4.7-r1.apk | 16.8 KiB | 2024-10-25 20:56:59 |
| stgit-fish-completion-2.4.7-r1.apk | 11.4 KiB | 2024-10-25 20:56:59 |
| sthttpd-openrc-2.27.1-r2.apk | 1.7 KiB | 2024-10-25 20:56:59 |
| stgit-2.4.7-r1.apk | 2.0 MiB | 2024-10-25 20:56:59 |
| stgit-emacs-2.4.7-r1.apk | 27.3 KiB | 2024-10-25 20:56:59 |
| stgit-doc-2.4.7-r1.apk | 126.0 KiB | 2024-10-25 20:56:59 |
| sthttpd-doc-2.27.1-r2.apk | 18.0 KiB | 2024-10-25 20:56:59 |
| surfraw-2.3.0-r0.apk | 78.5 KiB | 2024-10-25 20:57:02 |
| supermin-5.2.2-r2.apk | 536.4 KiB | 2024-10-25 20:57:02 |
| surf-doc-2.1-r3.apk | 4.4 KiB | 2024-10-25 20:57:02 |
| stw-0.3-r0.apk | 8.0 KiB | 2024-10-25 20:57:02 |
| surf-2.1-r3.apk | 23.4 KiB | 2024-10-25 20:57:02 |
| stw-doc-0.3-r0.apk | 2.3 KiB | 2024-10-25 20:57:02 |
| surfraw-doc-2.3.0-r0.apk | 17.4 KiB | 2024-10-25 20:57:02 |
| supermin-doc-5.2.2-r2.apk | 9.1 KiB | 2024-10-25 20:57:02 |
| swaks-20240103.0-r0.apk | 65.8 KiB | 2024-10-25 20:57:03 |
| swhkd-1.2.1-r0.apk | 1.1 MiB | 2024-10-25 20:57:03 |
| swaks-doc-20240103.0-r0.apk | 49.4 KiB | 2024-10-25 20:57:03 |
| swhkd-doc-1.2.1-r0.apk | 5.9 KiB | 2024-10-25 20:57:03 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 10.5 KiB | 2024-10-25 20:57:03 |
| swayhide-0.2.1-r2.apk | 279.0 KiB | 2024-10-25 20:57:03 |
| sxcs-doc-1.1.0-r0.apk | 2.4 KiB | 2024-10-25 20:57:04 |
| sxcs-1.1.0-r0.apk | 9.2 KiB | 2024-10-25 20:57:04 |
| syncthing-gtk-0.9.4.5-r2.apk | 439.7 KiB | 2024-10-25 20:57:05 |
| synapse-bt-openrc-1.0-r4.apk | 1.6 KiB | 2024-10-25 20:57:05 |
| symengine-0.12.0-r0.apk | 3.3 MiB | 2024-10-25 20:57:05 |
| symbiyosys-0.36-r0.apk | 37.3 KiB | 2024-10-25 20:57:05 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 1.9 KiB | 2024-10-25 20:57:05 |
| synapse-bt-1.0-r4.apk | 1.1 MiB | 2024-10-25 20:57:05 |
| synapse-bt-cli-1.0-r4.apk | 1.0 MiB | 2024-10-25 20:57:05 |
| sylpheed-imap-notify-1.1.0-r2.apk | 8.6 KiB | 2024-10-25 20:57:05 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.3 KiB | 2024-10-25 20:57:06 |
| t2sz-1.1.2-r0.apk | 9.1 KiB | 2024-10-25 20:57:06 |
| tcmu-runner-doc-1.6.0-r6.apk | 2.3 KiB | 2024-10-25 20:57:08 |
| tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-10-25 20:57:08 |
| tcmu-runner-1.6.0-r6.apk | 90.0 KiB | 2024-10-25 20:57:08 |
| tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-10-25 20:57:08 |
| tcl-curl-doc-7.22.0-r0.apk | 37.4 KiB | 2024-10-25 20:57:08 |
| tcmu-runner-rbd-1.6.0-r6.apk | 13.2 KiB | 2024-10-25 20:57:08 |
| tcl-curl-7.22.0-r0.apk | 31.9 KiB | 2024-10-25 20:57:08 |
| teapot-tools-0.4.2-r2.apk | 2.1 MiB | 2024-10-25 20:57:09 |
| termcolor-2.1.0-r0.apk | 1.2 KiB | 2024-10-25 20:57:13 |
| termbox-static-1.1.2-r1.apk | 14.4 KiB | 2024-10-25 20:57:13 |
| tere-1.6.0-r0.apk | 1.0 MiB | 2024-10-25 20:57:13 |
| termbox-dev-1.1.2-r1.apk | 5.5 KiB | 2024-10-25 20:57:13 |
| terminalpp-0.8.4-r0.apk | 440.2 KiB | 2024-10-25 20:57:13 |
| termbox-1.1.2-r1.apk | 12.0 KiB | 2024-10-25 20:57:13 |
| tere-doc-1.6.0-r0.apk | 13.7 KiB | 2024-10-25 20:57:13 |
| terminalpp-ropen-0.8.4-r0.apk | 58.8 KiB | 2024-10-25 20:57:13 |
| termcolor-dev-2.1.0-r0.apk | 6.6 KiB | 2024-10-25 20:57:13 |
| thefuck-pyc-3.32-r5.apk | 155.5 KiB | 2024-10-25 20:57:18 |
| thefuck-3.32-r5.apk | 83.1 KiB | 2024-10-25 20:57:18 |
| theme.sh-doc-1.1.5-r0.apk | 2.1 KiB | 2024-10-25 20:57:20 |
| thunarx-python-0.5.2-r2.apk | 10.1 KiB | 2024-10-25 20:57:20 |
| theme.sh-1.1.5-r0.apk | 38.8 KiB | 2024-10-25 20:57:20 |
| thelounge-doc-4.4.3-r0.apk | 2.0 KiB | 2024-10-25 20:57:20 |
| thelounge-openrc-4.4.3-r0.apk | 1.8 KiB | 2024-10-25 20:57:20 |
| thunarx-python-doc-0.5.2-r2.apk | 24.9 KiB | 2024-10-25 20:57:20 |
| thumbdrives-0.3.2-r2.apk | 10.7 KiB | 2024-10-25 20:57:20 |
| thelounge-4.4.3-r0.apk | 27.9 MiB | 2024-10-25 20:57:20 |
| timew-1.4.3-r1.apk | 268.0 KiB | 2024-10-25 20:57:21 |
| timew-doc-1.4.3-r1.apk | 52.9 KiB | 2024-10-25 20:57:21 |
| tmate-doc-2.4.0-r4.apk | 71.5 KiB | 2024-10-25 20:57:21 |
| tmate-2.4.0-r4.apk | 309.7 KiB | 2024-10-25 20:57:21 |
| timew-bash-completion-1.4.3-r1.apk | 2.5 KiB | 2024-10-25 20:57:21 |
| timewarrior-1.7.1-r0.apk | 277.2 KiB | 2024-10-25 20:57:21 |
| tinyscheme-1.42-r1.apk | 66.6 KiB | 2024-10-25 20:57:21 |
| timewarrior-doc-1.7.1-r0.apk | 21.9 KiB | 2024-10-25 20:57:21 |
| torrent-file-editor-0.3.18-r0.apk | 369.7 KiB | 2024-10-25 20:57:22 |
| topgit-0.19.13-r1.apk | 126.4 KiB | 2024-10-25 20:57:22 |
| topgit-bash-completion-0.19.13-r1.apk | 3.8 KiB | 2024-10-25 20:57:22 |
| tncattach-doc-0.1.9-r1.apk | 3.6 KiB | 2024-10-25 20:57:22 |
| tmpmail-doc-1.2.3-r2.apk | 3.0 KiB | 2024-10-25 20:57:22 |
| toapk-1.0-r0.apk | 10.7 KiB | 2024-10-25 20:57:22 |
| tncattach-0.1.9-r1.apk | 23.5 KiB | 2024-10-25 20:57:22 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-10-25 20:57:22 |
| tnef-doc-1.4.18-r0.apk | 4.0 KiB | 2024-10-25 20:57:22 |
| tmpmail-1.2.3-r2.apk | 6.8 KiB | 2024-10-25 20:57:22 |
| tnef-1.4.18-r0.apk | 25.6 KiB | 2024-10-25 20:57:22 |
| tpp-bypass-0.8.4-r0.apk | 13.6 KiB | 2024-10-25 20:57:22 |
| topgit-doc-0.19.13-r1.apk | 73.2 KiB | 2024-10-25 20:57:22 |
| tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2024-10-25 20:57:22 |
| trantor-doc-1.5.18-r0.apk | 2.4 KiB | 2024-10-25 20:57:23 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 4.0 KiB | 2024-10-25 20:57:23 |
| trantor-dev-1.5.18-r0.apk | 33.7 KiB | 2024-10-25 20:57:23 |
| trantor-1.5.18-r0.apk | 244.6 KiB | 2024-10-25 20:57:23 |
| tre-dev-0.8.0-r2.apk | 4.9 KiB | 2024-10-25 20:57:23 |
| tre-static-0.8.0-r2.apk | 30.7 KiB | 2024-10-25 20:57:23 |
| tree-sitter-just-0_git20230318-r0.apk | 14.6 KiB | 2024-10-25 20:57:23 |
| transmission-remote-gtk-1.6.0-r0.apk | 153.4 KiB | 2024-10-25 20:57:23 |
| tree-sitter-caddy-0_git20230322-r0.apk | 72.6 KiB | 2024-10-25 20:57:23 |
| tre-0.8.0-r2.apk | 28.2 KiB | 2024-10-25 20:57:23 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 105.7 KiB | 2024-10-25 20:57:23 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.0 KiB | 2024-10-25 20:57:23 |
| tree-sitter-pascal-0.9.1-r0.apk | 81.7 KiB | 2024-10-25 20:57:24 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2.0 KiB | 2024-10-25 20:57:24 |
| ttfautohint-doc-1.8.4-r0.apk | 7.8 KiB | 2024-10-25 20:58:01 |
| ttfautohint-1.8.4-r0.apk | 28.4 KiB | 2024-10-25 20:58:01 |
| ttfautohint-gui-1.8.4-r0.apk | 59.1 KiB | 2024-10-25 20:58:01 |
| ttfautohint-dev-1.8.4-r0.apk | 157.0 KiB | 2024-10-25 20:58:01 |
| tui-journal-0.10.0-r0.apk | 2.0 MiB | 2024-10-25 20:58:02 |
| tty-clock-doc-2.3_git20240104-r0.apk | 2.9 KiB | 2024-10-25 20:58:02 |
| tty-clock-2.3_git20240104-r0.apk | 8.4 KiB | 2024-10-25 20:58:02 |
| ttfautohint-libs-1.8.4-r0.apk | 102.9 KiB | 2024-10-25 20:58:02 |
| tui-journal-doc-0.10.0-r0.apk | 6.6 KiB | 2024-10-25 20:58:02 |
| twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-10-25 20:58:03 |
| twiggy-0.6.0-r3.apk | 823.7 KiB | 2024-10-25 20:58:03 |
| turnstile-doc-0.1.10-r3.apk | 5.4 KiB | 2024-10-25 20:58:03 |
| turnstile-openrc-0.1.10-r3.apk | 1.5 KiB | 2024-10-25 20:58:03 |
| turnstile-0.1.10-r3.apk | 39.1 KiB | 2024-10-25 20:58:03 |
| twemproxy-0.5.0-r0.apk | 70.8 KiB | 2024-10-25 20:58:03 |
| uasm-2.56.2-r0.apk | 282.0 KiB | 2024-10-25 20:58:05 |
| udpt-3.1.2-r0.apk | 748.8 KiB | 2024-10-25 20:58:05 |
| ubase-doc-20200605-r3.apk | 21.0 KiB | 2024-10-25 20:58:05 |
| udpt-openrc-3.1.2-r0.apk | 1.5 KiB | 2024-10-25 20:58:05 |
| u1db-qt-0.1.8-r0.apk | 99.3 KiB | 2024-10-25 20:58:05 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.0 KiB | 2024-10-25 20:58:05 |
| ubase-20200605-r3.apk | 44.8 KiB | 2024-10-25 20:58:05 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 15.9 KiB | 2024-10-25 20:58:05 |
| uranium-5.2.2-r3.apk | 595.3 KiB | 2024-10-25 20:58:07 |
| ustr-doc-1.0.4-r1.apk | 96.3 KiB | 2024-10-25 20:58:10 |
| ustr-debug-1.0.4-r1.apk | 77.4 KiB | 2024-10-25 20:58:10 |
| ustr-dev-1.0.4-r1.apk | 91.2 KiB | 2024-10-25 20:58:10 |
| ustr-1.0.4-r1.apk | 58.6 KiB | 2024-10-25 20:58:10 |
| ustr-static-1.0.4-r1.apk | 179.7 KiB | 2024-10-25 20:58:10 |
| utop-2.9.1-r4.apk | 13.3 MiB | 2024-10-25 20:58:11 |
| utop-common-2.9.1-r4.apk | 1.8 KiB | 2024-10-25 20:58:11 |
| utop-doc-2.9.1-r4.apk | 5.5 KiB | 2024-10-25 20:58:11 |
| utop-emacs-2.9.1-r4.apk | 12.6 KiB | 2024-10-25 20:58:11 |
| uxn-doc-1.0-r0.apk | 3.9 KiB | 2024-10-25 20:58:12 |
| utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-10-25 20:58:12 |
| uucp-doc-1.07-r6.apk | 117.8 KiB | 2024-10-25 20:58:12 |
| uxn-1.0-r0.apk | 47.2 KiB | 2024-10-25 20:58:12 |
| uucp-1.07-r6.apk | 498.8 KiB | 2024-10-25 20:58:12 |
| vcsh-2.0.5-r0.apk | 8.5 KiB | 2024-10-25 20:58:16 |
| vfd-configurations-0_git20230612-r0.apk | 24.5 KiB | 2024-10-25 20:58:16 |
| vectoroids-1.1.0-r2.apk | 282.3 KiB | 2024-10-25 20:58:16 |
| vcstool-pyc-0.3.0-r5.apk | 57.5 KiB | 2024-10-25 20:58:16 |
| vcstool-0.3.0-r5.apk | 34.5 KiB | 2024-10-25 20:58:16 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2.7 KiB | 2024-10-25 20:58:16 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1.5 KiB | 2024-10-25 20:58:16 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1.4 KiB | 2024-10-25 20:58:16 |
| vectoroids-doc-1.1.0-r2.apk | 2.0 KiB | 2024-10-25 20:58:16 |
| vcstool-bash-completion-0.3.0-r5.apk | 1.5 KiB | 2024-10-25 20:58:16 |
| vcsh-doc-2.0.5-r0.apk | 26.9 KiB | 2024-10-25 20:58:16 |
| vcsh-bash-completion-2.0.5-r0.apk | 2.7 KiB | 2024-10-25 20:58:16 |
| vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-10-25 20:58:17 |
| vidcutter-doc-6.0.5.3-r0.apk | 23.9 KiB | 2024-10-25 20:58:17 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-10-25 20:58:17 |
| viewnior-1.8-r1.apk | 75.6 KiB | 2024-10-25 20:58:18 |
| viewnior-lang-1.8-r1.apk | 84.9 KiB | 2024-10-25 20:58:18 |
| viewnior-doc-1.8-r1.apk | 1.8 KiB | 2024-10-25 20:58:18 |
| vim-airline-0.11-r0.apk | 86.4 KiB | 2024-10-25 20:58:18 |
| vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-10-25 20:58:18 |
| vkbasalt-0.3.2.10-r0.apk | 409.8 KiB | 2024-10-25 20:58:20 |
| vit-2.3.2-r1.apk | 80.2 KiB | 2024-10-25 20:58:20 |
| volumeicon-0.5.1-r1.apk | 42.9 KiB | 2024-10-25 20:58:20 |
| voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-10-25 20:58:20 |
| volumeicon-lang-0.5.1-r1.apk | 3.5 KiB | 2024-10-25 20:58:20 |
| vmtouch-1.3.1-r0.apk | 12.9 KiB | 2024-10-25 20:58:20 |
| vkbasalt-doc-0.3.2.10-r0.apk | 2.8 KiB | 2024-10-25 20:58:20 |
| vit-pyc-2.3.2-r1.apk | 151.2 KiB | 2024-10-25 20:58:20 |
| vmtouch-doc-1.3.1-r0.apk | 7.8 KiB | 2024-10-25 20:58:20 |
| vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-10-25 20:58:21 |
| wakeonlan-0.42-r0.apk | 4.2 KiB | 2024-10-25 20:58:21 |
| vym-2.9.26-r0.apk | 2.8 MiB | 2024-10-25 20:58:21 |
| wakeonlan-doc-0.42-r0.apk | 7.3 KiB | 2024-10-25 20:58:21 |
| walk-sor-0_git20190920-r1.apk | 5.7 KiB | 2024-10-25 20:58:22 |
| walk-sor-doc-0_git20190920-r1.apk | 7.5 KiB | 2024-10-25 20:58:22 |
| watchdog-5.16-r2.apk | 47.9 KiB | 2024-10-25 20:58:23 |
| watchdog-doc-5.16-r2.apk | 14.0 KiB | 2024-10-25 20:58:23 |
| watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-10-25 20:58:23 |
| watershot-0.2.0-r0.apk | 1.8 MiB | 2024-10-25 20:58:23 |
| watchbind-doc-0.2.1-r1.apk | 6.3 KiB | 2024-10-25 20:58:23 |
| wch-isp-doc-0.4.1-r2.apk | 2.4 KiB | 2024-10-25 20:58:24 |
| weggli-0.2.4-r1.apk | 853.2 KiB | 2024-10-25 20:58:24 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1.4 KiB | 2024-10-25 20:58:24 |
| wch-isp-0.4.1-r2.apk | 10.6 KiB | 2024-10-25 20:58:24 |
| waylevel-1.0.0-r1.apk | 325.6 KiB | 2024-10-25 20:58:24 |
| wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-10-25 20:58:25 |
| wk-adblock-0.0.4-r5.apk | 181.2 KiB | 2024-10-25 20:58:25 |
| wl-clipboard-x11-5-r3.apk | 3.2 KiB | 2024-10-25 20:58:25 |
| whipper-pyc-0.10.0-r5.apk | 184.8 KiB | 2024-10-25 20:58:25 |
| wlclock-1.0.1-r0.apk | 17.3 KiB | 2024-10-25 20:58:25 |
| wk-adblock-doc-0.0.4-r5.apk | 1.8 KiB | 2024-10-25 20:58:25 |
| witchery-0.0.3-r2.apk | 3.2 KiB | 2024-10-25 20:58:25 |
| wiremapper-0.10.0-r0.apk | 21.7 KiB | 2024-10-25 20:58:25 |
| wlavu-0_git20201101-r1.apk | 12.5 KiB | 2024-10-25 20:58:25 |
| whipper-0.10.0-r5.apk | 113.3 KiB | 2024-10-25 20:58:25 |
| wl-clipboard-x11-doc-5-r3.apk | 2.7 KiB | 2024-10-25 20:58:25 |
| wok-lang-3.0.0-r6.apk | 15.8 KiB | 2024-10-25 20:58:26 |
| wol-0.7.1-r3.apk | 26.0 KiB | 2024-10-25 20:58:26 |
| wol-doc-0.7.1-r3.apk | 5.3 KiB | 2024-10-25 20:58:26 |
| wmctrl-1.07-r1.apk | 14.1 KiB | 2024-10-25 20:58:26 |
| wok-pyc-3.0.0-r6.apk | 118.4 KiB | 2024-10-25 20:58:26 |
| wmi-client-1.3.16-r5.apk | 2.5 MiB | 2024-10-25 20:58:26 |
| wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-10-25 20:58:26 |
| wok-doc-3.0.0-r6.apk | 3.4 KiB | 2024-10-25 20:58:26 |
| wol-lang-0.7.1-r3.apk | 7.9 KiB | 2024-10-25 20:58:26 |
| wok-3.0.0-r6.apk | 156.3 KiB | 2024-10-25 20:58:26 |
| wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-10-25 20:58:30 |
| wput-0.6.2-r4.apk | 40.6 KiB | 2024-10-25 20:58:30 |
| wshowkeys-1.0-r0.apk | 14.6 KiB | 2024-10-25 20:58:30 |
| wpa_actiond-openrc-1.4-r7.apk | 2.0 KiB | 2024-10-25 20:58:30 |
| wpa_actiond-1.4-r7.apk | 10.3 KiB | 2024-10-25 20:58:30 |
| x11docker-7.6.0-r1.apk | 113.2 KiB | 2024-10-25 20:58:32 |
| xdg-ninja-0.2.0.2-r0.apk | 70.2 KiB | 2024-10-25 20:58:32 |
| x11docker-doc-7.6.0-r1.apk | 9.1 KiB | 2024-10-25 20:58:32 |
| xmppipe-0.16.0-r1.apk | 16.6 KiB | 2024-10-25 20:58:33 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-10-25 20:58:33 |
| xmp-4.2.0-r0.apk | 23.0 KiB | 2024-10-25 20:58:33 |
| xfce4-mixer-4.18.1-r2.apk | 89.1 KiB | 2024-10-25 20:58:33 |
| xmp-doc-4.2.0-r0.apk | 5.1 KiB | 2024-10-25 20:58:33 |
| xmag-doc-1.0.8-r0.apk | 4.5 KiB | 2024-10-25 20:58:33 |
| xmag-1.0.8-r0.apk | 17.1 KiB | 2024-10-25 20:58:33 |
| xload-1.1.4-r0.apk | 7.3 KiB | 2024-10-25 20:58:33 |
| xosview-1.24-r0.apk | 127.4 KiB | 2024-10-25 20:58:33 |
| xfd-doc-1.1.4-r0.apk | 4.7 KiB | 2024-10-25 20:58:33 |
| xlhtml-doc-0.5.1-r0.apk | 2.2 KiB | 2024-10-25 20:58:33 |
| xfce4-hamster-plugin-1.17-r0.apk | 38.2 KiB | 2024-10-25 20:58:33 |
| xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-10-25 20:58:33 |
| xgalaga-doc-2.1.1.0-r1.apk | 2.3 KiB | 2024-10-25 20:58:33 |
| xlhtml-0.5.1-r0.apk | 11.7 KiB | 2024-10-25 20:58:33 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2.2 KiB | 2024-10-25 20:58:33 |
| xgalaga-2.1.1.0-r1.apk | 311.9 KiB | 2024-10-25 20:58:33 |
| xfd-1.1.4-r0.apk | 13.7 KiB | 2024-10-25 20:58:33 |
| xfce4-mixer-lang-4.18.1-r2.apk | 58.5 KiB | 2024-10-25 20:58:33 |
| xva-img-1.5-r0.apk | 17.5 KiB | 2024-10-25 20:58:34 |
| xvidtune-1.0.4-r0.apk | 17.1 KiB | 2024-10-25 20:58:34 |
| xsoldier-1.8-r2.apk | 68.9 KiB | 2024-10-25 20:58:34 |
| xsecurelock-doc-1.9.0-r1.apk | 17.5 KiB | 2024-10-25 20:58:34 |
| xsane-lang-0.999-r2.apk | 440.1 KiB | 2024-10-25 20:58:34 |
| xvidtune-doc-1.0.4-r0.apk | 3.9 KiB | 2024-10-25 20:58:34 |
| xsoldier-doc-1.8-r2.apk | 2.4 KiB | 2024-10-25 20:58:34 |
| xsecurelock-1.9.0-r1.apk | 75.4 KiB | 2024-10-25 20:58:34 |
| xosview-doc-1.24-r0.apk | 12.3 KiB | 2024-10-25 20:58:34 |
| xsane-doc-0.999-r2.apk | 4.0 KiB | 2024-10-25 20:58:34 |
| xsane-0.999-r2.apk | 1.5 MiB | 2024-10-25 20:58:34 |
| yass-2.5.0-r0.apk | 14.1 MiB | 2024-10-25 20:58:41 |
| ydcv-zsh-completion-0.7-r8.apk | 1.9 KiB | 2024-10-25 20:58:42 |
| ydcv-0.7-r8.apk | 19.8 KiB | 2024-10-25 20:58:42 |
| ydcv-pyc-0.7-r8.apk | 10.3 KiB | 2024-10-25 20:58:42 |
| z-doc-1.12-r0.apk | 3.7 KiB | 2024-10-25 20:58:46 |
| z-1.12-r0.apk | 4.3 KiB | 2024-10-25 20:58:46 |
| zarchive-libs-0.1.2-r2.apk | 25.8 KiB | 2024-10-25 20:58:48 |
| zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-10-25 20:58:48 |
| zarchive-0.1.2-r2.apk | 17.0 KiB | 2024-10-25 20:58:48 |
| zarchive-dev-0.1.2-r2.apk | 6.5 KiB | 2024-10-25 20:58:48 |
| zfsbootmenu-doc-2.3.0-r1.apk | 15.5 KiB | 2024-10-25 20:58:50 |
| zfsbootmenu-2.3.0-r1.apk | 128.0 KiB | 2024-10-25 20:58:50 |
| zita-njbridge-0.4.8-r1.apk | 31.2 KiB | 2024-10-25 20:58:51 |
| zile-doc-2.6.2-r1.apk | 15.5 KiB | 2024-10-25 20:58:51 |
| zita-njbridge-doc-0.4.8-r1.apk | 5.0 KiB | 2024-10-25 20:58:51 |
| zile-2.6.2-r1.apk | 124.6 KiB | 2024-10-25 20:58:51 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2.6 KiB | 2024-10-25 20:58:58 |
| zsh-histdb-skim-0.8.6-r0.apk | 786.8 KiB | 2024-10-25 20:58:58 |
| php84-pecl-uv-0.3.0-r0.apk | 50.1 KiB | 2024-10-28 12:47:36 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 23.2 KiB | 2024-10-28 21:51:55 |
| py3-bite-parser-0.2.5-r0.apk | 13.4 KiB | 2024-10-28 21:51:55 |
| elementary-music-8.0.0-r0.apk | 74.9 KiB | 2024-10-28 22:07:00 |
| elementary-music-lang-8.0.0-r0.apk | 47.2 KiB | 2024-10-28 22:07:00 |
| perl-dbix-class-candy-0.005004-r0.apk | 7.6 KiB | 2024-10-30 06:01:25 |
| perl-dbix-class-candy-doc-0.005004-r0.apk | 9.2 KiB | 2024-10-30 06:01:25 |
| libideviceactivation-doc-1.1.1-r5.apk | 1.9 KiB | 2024-10-30 22:46:00 |
| ideviceinstaller-1.1.1-r4.apk | 14.4 KiB | 2024-10-30 22:46:00 |
| libideviceactivation-dev-1.1.1-r5.apk | 3.0 KiB | 2024-10-30 22:46:00 |
| libideviceactivation-1.1.1-r5.apk | 18.6 KiB | 2024-10-30 22:46:00 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2.2 KiB | 2024-10-30 22:46:00 |
| ifuse-1.1.4-r5.apk | 10.2 KiB | 2024-10-30 22:46:00 |
| ifuse-doc-1.1.4-r5.apk | 2.1 KiB | 2024-10-30 22:46:00 |
| py3-sh-pyc-2.1.0-r0.apk | 54.6 KiB | 2024-11-01 15:14:25 |
| py3-sh-2.1.0-r0.apk | 37.5 KiB | 2024-11-01 15:14:25 |
| flawz-doc-0.3.0-r0.apk | 5.7 KiB | 2024-11-03 21:10:29 |
| flawz-fish-completion-0.3.0-r0.apk | 1.7 KiB | 2024-11-03 21:10:29 |
| flawz-bash-completion-0.3.0-r0.apk | 1.9 KiB | 2024-11-03 21:10:29 |
| flawz-zsh-completion-0.3.0-r0.apk | 2.0 KiB | 2024-11-03 21:10:29 |
| flawz-0.3.0-r0.apk | 1.3 MiB | 2024-11-03 21:10:29 |
| avara-0.7.1-r1.apk | 21.4 MiB | 2024-11-04 08:53:39 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 9.8 KiB | 2024-11-04 12:28:32 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.6 KiB | 2024-11-04 12:28:32 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 37.6 KiB | 2024-11-04 12:52:45 |
| e16-doc-1.0.30-r0.apk | 27.0 KiB | 2024-11-05 13:22:37 |
| e16-1.0.30-r0.apk | 804.1 KiB | 2024-11-05 13:22:37 |
| e16-lang-1.0.30-r0.apk | 379.4 KiB | 2024-11-05 13:22:37 |
| plots-0.7.0-r1.apk | 515.5 KiB | 2024-11-06 09:43:33 |
| py3-zimscraperlib-3.4.0-r0.apk | 51.4 KiB | 2024-11-06 09:43:33 |
| py3-pyglm-2.7.3-r0.apk | 1.2 MiB | 2024-11-06 09:43:33 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.1 KiB | 2024-11-06 09:43:33 |
| neofetch-doc-7.1.0-r2.apk | 5.9 KiB | 2024-11-06 21:47:13 |
| neofetch-7.1.0-r2.apk | 85.4 KiB | 2024-11-06 21:47:13 |
| elementary-sound-theme-1.1.0-r0.apk | 82.8 KiB | 2024-11-10 22:08:21 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 231.9 KiB | 2024-11-11 01:45:12 |
| grcov-0.8.20-r0.apk | 2.0 MiB | 2024-11-11 10:10:00 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 40.2 KiB | 2024-11-11 21:56:21 |
| py3-pyvcd-0.4.1-r0.apk | 22.4 KiB | 2024-11-11 21:56:21 |
| crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-11-12 11:50:22 |
| crun-vm-doc-0.3.0-r0.apk | 12.6 KiB | 2024-11-12 11:50:22 |
| appcenter-8.0.0-r0.apk | 409.1 KiB | 2024-11-12 22:42:05 |
| contractor-0.3.5-r0.apk | 27.7 KiB | 2024-11-12 22:42:05 |
| appcenter-lang-8.0.0-r0.apk | 258.0 KiB | 2024-11-12 22:42:05 |
| py3-pltable-pyc-1.1.0-r1.apk | 33.2 KiB | 2024-11-13 06:38:20 |
| py3-pltable-1.1.0-r1.apk | 18.3 KiB | 2024-11-13 06:38:20 |
| py3-minidb-2.0.8-r0.apk | 9.8 KiB | 2024-11-13 18:52:11 |
| py3-minidb-pyc-2.0.8-r0.apk | 23.2 KiB | 2024-11-13 18:52:11 |
| py3-mss-10.0.0-r0.apk | 50.3 KiB | 2024-11-14 12:57:54 |
| perl-test-utf8-1.03-r0.apk | 5.3 KiB | 2024-11-16 15:09:12 |
| perl-test-utf8-doc-1.03-r0.apk | 4.6 KiB | 2024-11-16 15:09:12 |
| fplll-dev-5.5.0-r0.apk | 77.7 KiB | 2024-11-17 04:09:20 |
| fplll-5.5.0-r0.apk | 55.7 KiB | 2024-11-17 04:09:20 |
| fplll-libs-5.5.0-r0.apk | 7.8 MiB | 2024-11-17 04:09:22 |
| fplll-static-5.5.0-r0.apk | 8.7 MiB | 2024-11-17 04:09:23 |
| perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.1 KiB | 2024-11-17 04:09:24 |
| perl-dbix-class-helpers-2.037000-r0.apk | 47.5 KiB | 2024-11-17 04:09:24 |
| libbraiding-dev-1.3.1-r0.apk | 14.8 KiB | 2024-11-17 04:09:24 |
| fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-11-17 04:09:24 |
| libbraiding-1.3.1-r0.apk | 111.5 KiB | 2024-11-17 04:09:24 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 6.7 KiB | 2024-11-17 12:51:43 |
| apk-autoupdate-0_git20210421-r1.apk | 14.1 KiB | 2024-11-17 12:51:43 |
| linuxptp-phc_ctl-4.4-r0.apk | 10.0 KiB | 2024-11-17 17:33:47 |
| linuxptp-ts2phc-4.4-r0.apk | 37.1 KiB | 2024-11-17 17:33:47 |
| linuxptp-tz2alt-4.4-r0.apk | 19.9 KiB | 2024-11-17 17:33:47 |
| linuxptp-pmc-4.4-r0.apk | 35.7 KiB | 2024-11-17 17:33:47 |
| linuxptp-phc2sys-4.4-r0.apk | 37.9 KiB | 2024-11-17 17:33:47 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4.5 KiB | 2024-11-17 17:33:47 |
| linuxptp-doc-4.4-r0.apk | 38.2 KiB | 2024-11-17 17:33:47 |
| linuxptp-timemaster-4.4-r0.apk | 16.9 KiB | 2024-11-17 17:33:47 |
| linuxptp-nsm-4.4-r0.apk | 31.9 KiB | 2024-11-17 17:33:47 |
| linuxptp-ptp4l-4.4-r0.apk | 79.1 KiB | 2024-11-17 17:33:47 |
| linuxptp-4.4-r0.apk | 982 B | 2024-11-17 17:33:47 |
| randrctl-1.10.0-r0.apk | 27.7 KiB | 2024-11-17 21:22:33 |
| randrctl-pyc-1.10.0-r0.apk | 30.9 KiB | 2024-11-17 21:22:33 |
| py3-requests-cache-pyc-1.2.1-r1.apk | 93.9 KiB | 2024-11-18 08:47:21 |
| py3-requests-cache-1.2.1-r1.apk | 49.7 KiB | 2024-11-18 08:47:21 |
| gufw-doc-24.04-r3.apk | 4.2 KiB | 2024-11-19 22:14:15 |
| gufw-24.04-r3.apk | 596.2 KiB | 2024-11-19 22:14:15 |
| gufw-lang-24.04-r3.apk | 854.3 KiB | 2024-11-19 22:14:16 |
| gufw-pyc-24.04-r3.apk | 64.3 KiB | 2024-11-19 22:14:16 |
| neko-dev-2.3.0-r0.apk | 10.1 KiB | 2024-11-20 22:27:30 |
| neko-2.3.0-r0.apk | 467.7 KiB | 2024-11-20 22:27:30 |
| neko-doc-2.3.0-r0.apk | 19.7 KiB | 2024-11-20 22:27:30 |
| smassh-pyc-3.1.6-r0.apk | 70.0 KiB | 2024-11-21 02:57:21 |
| smassh-3.1.6-r0.apk | 72.1 KiB | 2024-11-21 02:57:21 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk | 11.0 KiB | 2024-11-21 13:34:37 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.7 KiB | 2024-11-21 13:34:37 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 94.8 KiB | 2024-11-21 13:34:37 |
| py3-xdoctest-1.2.0-r0.apk | 312.2 KiB | 2024-11-21 13:34:37 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.4 KiB | 2024-11-21 13:34:37 |
| paprefs-1.2-r2.apk | 30.8 KiB | 2024-11-22 22:15:46 |
| paprefs-lang-1.2-r2.apk | 37.6 KiB | 2024-11-22 22:15:46 |
| predict-doc-2.3.1-r0.apk | 15.8 KiB | 2024-11-23 19:08:40 |
| predict-2.3.1-r0.apk | 100.1 KiB | 2024-11-23 19:08:40 |
| qstardict-2.0.2-r1.apk | 455.8 KiB | 2024-11-24 03:04:52 |
| qstardict-doc-2.0.2-r1.apk | 10.4 KiB | 2024-11-24 03:04:52 |
| highctidh-dev-1.0.2024092800-r0.apk | 421.0 KiB | 2024-11-25 20:41:51 |
| highctidh-1.0.2024092800-r0.apk | 393.5 KiB | 2024-11-25 20:41:51 |
| py3-highctidh-1.0.2024092800-r0.apk | 406.5 KiB | 2024-11-25 20:41:53 |
| py3-highctidh-pyc-1.0.2024092800-r0.apk | 11.3 KiB | 2024-11-25 20:41:53 |
| atac-0.18.1-r0.apk | 4.9 MiB | 2024-11-25 22:19:33 |
| py3-livestream-2.1.0-r0.apk | 766.0 KiB | 2024-11-25 22:30:41 |
| py3-livestream-pyc-2.1.0-r0.apk | 29.6 KiB | 2024-11-25 22:30:41 |
| git-graph-doc-0.6.0-r0.apk | 6.0 KiB | 2024-11-25 23:42:42 |
| git-graph-0.6.0-r0.apk | 936.9 KiB | 2024-11-25 23:42:42 |
| gmid-doc-2.1.1-r0.apk | 14.2 KiB | 2024-11-27 19:26:27 |
| gmid-openrc-2.1.1-r0.apk | 1.9 KiB | 2024-11-27 19:26:27 |
| gmid-2.1.1-r0.apk | 236.6 KiB | 2024-11-27 19:26:27 |
| certbot-dns-njalla-2.0.0-r0.apk | 9.0 KiB | 2024-11-27 23:08:01 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.0 KiB | 2024-11-27 23:08:01 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25.0 KiB | 2024-11-29 22:15:59 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.6 KiB | 2024-11-29 22:15:59 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.2 KiB | 2024-11-29 22:15:59 |
| py3-protego-pyc-0.3.1-r0.apk | 11.3 KiB | 2024-11-30 20:42:20 |
| py3-protego-0.3.1-r0.apk | 9.1 KiB | 2024-11-30 20:42:20 |
| py3-itemadapter-0.10.0-r0.apk | 11.0 KiB | 2024-11-30 20:42:57 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12.4 KiB | 2024-11-30 20:42:57 |
| py3-ppk2-api-0.9.2-r0.apk | 16.2 KiB | 2024-11-30 20:52:28 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16.3 KiB | 2024-11-30 20:52:28 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 27.6 KiB | 2024-12-01 02:05:31 |
| py3-numpy-stl-3.2.0-r0.apk | 20.7 KiB | 2024-12-01 02:05:31 |
| tldr-python-client-doc-3.3.0-r0.apk | 3.2 KiB | 2024-12-01 17:09:33 |
| tldr-python-client-pyc-3.3.0-r0.apk | 13.9 KiB | 2024-12-01 17:09:33 |
| tldr-python-client-3.3.0-r0.apk | 11.9 KiB | 2024-12-01 17:09:33 |
| py3-requests-kerberos-0.15.0-r0.apk | 11.8 KiB | 2024-12-01 17:25:25 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.7 KiB | 2024-12-01 17:25:25 |
| py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-12-01 20:08:34 |
| py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-12-01 20:08:34 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.2 KiB | 2024-12-01 20:14:17 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.4 KiB | 2024-12-01 20:14:17 |
| py3-soappy-pyc-0.52.30-r0.apk | 95.0 KiB | 2024-12-02 23:03:14 |
| py3-soappy-0.52.30-r0.apk | 47.0 KiB | 2024-12-02 23:03:14 |
| elementary-photos-8.0.1-r0.apk | 1.2 MiB | 2024-12-02 23:04:55 |
| elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2024-12-02 23:04:55 |
| openfire-4.8.1-r1.apk | 45.8 MiB | 2024-12-03 14:44:05 |
| openfire-openrc-4.8.1-r1.apk | 1.7 KiB | 2024-12-03 14:44:06 |
| openfire-plugins-4.8.1-r1.apk | 72.1 KiB | 2024-12-03 14:44:06 |
| openfire-doc-4.8.1-r1.apk | 3.8 MiB | 2024-12-03 14:44:06 |
| drupal7-doc-7.103-r0.apk | 57.2 KiB | 2024-12-05 11:04:04 |
| drupal7-7.103-r0.apk | 3.3 MiB | 2024-12-05 11:04:04 |
| php81-pecl-pcov-1.0.12-r0.apk | 9.8 KiB | 2024-12-05 11:04:09 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.4 KiB | 2024-12-06 22:58:24 |
| py3-flask-flatpages-0.8.3-r0.apk | 10.4 KiB | 2024-12-06 22:58:24 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 40.9 KiB | 2024-12-06 23:04:50 |
| py3-sqlmodel-0.0.22-r1.apk | 26.1 KiB | 2024-12-06 23:04:50 |
| dooit-extras-pyc-0.2.0-r0.apk | 22.5 KiB | 2024-12-07 20:31:57 |
| dooit-extras-0.2.0-r0.apk | 12.5 KiB | 2024-12-07 20:31:57 |
| py3-venusian-pyc-3.1.1-r0.apk | 12.2 KiB | 2024-12-07 21:14:41 |
| py3-venusian-3.1.1-r0.apk | 14.1 KiB | 2024-12-07 21:14:41 |
| py3-flake8-builtins-2.5.0-r0.apk | 12.5 KiB | 2024-12-07 21:51:49 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.6 KiB | 2024-12-07 21:51:49 |
| getting-things-gnome-doc-0.6-r4.apk | 497.1 KiB | 2024-12-08 21:45:50 |
| planner-doc-0.14.92-r1.apk | 1.9 KiB | 2024-12-08 21:45:50 |
| planner-0.14.92-r1.apk | 370.2 KiB | 2024-12-08 21:45:50 |
| endeavour-43.0-r2.apk | 198.7 KiB | 2024-12-08 21:45:50 |
| endeavour-dev-43.0-r2.apk | 45.3 KiB | 2024-12-08 21:45:50 |
| getting-things-gnome-lang-0.6-r4.apk | 228.5 KiB | 2024-12-08 21:45:50 |
| endeavour-lang-43.0-r2.apk | 202.9 KiB | 2024-12-08 21:45:50 |
| py3-liblarch-3.2.0-r6.apk | 29.3 KiB | 2024-12-08 21:45:50 |
| endeavour-doc-43.0-r2.apk | 67.8 KiB | 2024-12-08 21:45:50 |
| getting-things-gnome-0.6-r4.apk | 714.7 KiB | 2024-12-08 21:45:50 |
| py3-liblarch-pyc-3.2.0-r6.apk | 49.3 KiB | 2024-12-08 21:45:50 |
| planner-lang-0.14.92-r1.apk | 824.3 KiB | 2024-12-08 21:45:50 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 20.8 KiB | 2024-12-10 19:02:48 |
| perl-net-async-redis-6.006-r0.apk | 58.2 KiB | 2024-12-11 16:22:37 |
| perl-net-async-redis-doc-6.006-r0.apk | 65.6 KiB | 2024-12-11 16:22:37 |
| openfortivpn-doc-1.22.1-r0.apk | 6.0 KiB | 2024-12-11 21:38:55 |
| py3-eventlet-pyc-0.38.1-r0.apk | 335.4 KiB | 2024-12-11 21:38:55 |
| openfortivpn-1.22.1-r0.apk | 41.3 KiB | 2024-12-11 21:38:55 |
| py3-eventlet-0.38.1-r0.apk | 331.9 KiB | 2024-12-11 21:38:55 |
| py3-flask-qrcode-3.2.0-r0.apk | 17.8 KiB | 2024-12-12 06:32:27 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 5.9 KiB | 2024-12-12 06:32:27 |
| libantlr4-dev-4.13.2-r0.apk | 994.8 KiB | 2024-12-12 11:08:30 |
| libantlr4-4.13.2-r0.apk | 486.9 KiB | 2024-12-12 11:08:30 |
| code-minimap-0.6.7-r0.apk | 392.1 KiB | 2024-12-12 19:40:33 |
| code-minimap-doc-0.6.7-r0.apk | 7.7 KiB | 2024-12-12 19:40:33 |
| py3-janus-pyc-1.2.0-r0.apk | 13.1 KiB | 2024-12-13 04:58:13 |
| py3-janus-1.2.0-r0.apk | 12.1 KiB | 2024-12-13 04:58:13 |
| azote-1.14.0-r0.apk | 7.6 MiB | 2024-12-14 21:36:18 |
| azote-pyc-1.14.0-r0.apk | 97.7 KiB | 2024-12-14 21:36:18 |
| jwt-cli-6.2.0-r0.apk | 881.6 KiB | 2024-12-14 21:36:26 |
| knxd-dev-0.14.61-r1.apk | 24.2 KiB | 2024-12-14 21:36:28 |
| knxd-0.14.61-r1.apk | 401.8 KiB | 2024-12-14 21:36:28 |
| libsemigroups-2.7.3-r1.apk | 764.4 KiB | 2024-12-14 21:36:30 |
| libsemigroups-static-2.7.3-r1.apk | 1.7 MiB | 2024-12-14 21:36:30 |
| libsemigroups-dev-2.7.3-r1.apk | 334.2 KiB | 2024-12-14 21:36:30 |
| pebble-le-doc-0.3.0-r2.apk | 3.4 KiB | 2024-12-14 21:36:31 |
| pebble-le-dev-0.3.0-r2.apk | 43.6 KiB | 2024-12-14 21:36:31 |
| pebble-le-0.3.0-r2.apk | 65.6 KiB | 2024-12-14 21:36:31 |
| restinio-0.6.19-r1.apk | 996 B | 2024-12-14 21:36:32 |
| restinio-dev-0.6.19-r1.apk | 267.6 KiB | 2024-12-14 21:36:32 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 7.8 KiB | 2024-12-14 22:52:20 |
| py3-jaraco.stream-3.0.4-r0.apk | 6.5 KiB | 2024-12-14 22:52:20 |
| bore-0.5.2-r0.apk | 595.9 KiB | 2024-12-15 19:50:13 |
| throttled-0.10.0-r1.apk | 14.3 KiB | 2024-12-15 19:50:13 |
| throttled-openrc-0.10.0-r1.apk | 1.3 KiB | 2024-12-15 19:50:13 |
| throttled-pyc-0.10.0-r1.apk | 28.1 KiB | 2024-12-15 19:50:13 |
| py3-proxmoxer-2.2.0-r0.apk | 16.6 KiB | 2024-12-16 11:36:41 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 27.5 KiB | 2024-12-16 11:36:41 |
| swi-prolog-pyc-9.2.9-r0.apk | 22.2 KiB | 2024-12-21 10:09:22 |
| swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-12-21 10:09:22 |
| swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-12-21 10:09:22 |
| swi-prolog-9.2.9-r0.apk | 5.1 MiB | 2024-12-21 10:09:22 |
| swi-prolog-xpce-9.2.9-r0.apk | 921.5 KiB | 2024-12-21 10:09:22 |
| amber-mpris-dev-1.2.9-r0.apk | 6.5 KiB | 2024-12-22 16:47:49 |
| amber-mpris-1.2.9-r0.apk | 227.2 KiB | 2024-12-22 16:47:49 |
| pitivi-lang-2023.03-r2.apk | 678.0 KiB | 2024-12-22 22:04:49 |
| pitivi-2023.03-r2.apk | 2.7 MiB | 2024-12-22 22:04:49 |
| pitivi-pyc-2023.03-r2.apk | 699.9 KiB | 2024-12-22 22:04:49 |
| py3-limits-pyc-3.14.1-r0.apk | 71.2 KiB | 2024-12-25 18:53:33 |
| py3-limits-3.14.1-r0.apk | 33.2 KiB | 2024-12-25 18:53:33 |
| octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-12-25 22:04:37 |
| octoprint-openrc-1.10.3-r0.apk | 1.4 KiB | 2024-12-25 22:04:37 |
| octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-12-25 22:04:37 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 67.5 KiB | 2024-12-25 22:10:26 |
| py3-pysubs2-1.8.0-r0.apk | 35.7 KiB | 2024-12-25 22:10:26 |
| perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk | 2.8 KiB | 2024-12-26 09:37:00 |
| perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk | 2.8 KiB | 2024-12-26 09:37:00 |
| perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk | 2.8 KiB | 2024-12-27 14:02:37 |
| perl-plack-middleware-removeredundantbody-0.09-r0.apk | 2.2 KiB | 2024-12-27 14:02:37 |
| libdng-dev-0.2.1-r0.apk | 2.9 KiB | 2024-12-27 22:17:16 |
| libdng-0.2.1-r0.apk | 11.7 KiB | 2024-12-27 22:17:16 |
| libdng-utils-0.2.1-r0.apk | 6.2 KiB | 2024-12-27 22:17:16 |
| libdng-doc-0.2.1-r0.apk | 3.9 KiB | 2024-12-27 22:17:16 |
| perl-dancer2-doc-1.1.2-r0.apk | 300.5 KiB | 2024-12-28 04:55:18 |
| perl-cli-osprey-0.08-r0.apk | 12.5 KiB | 2024-12-28 04:55:18 |
| perl-cli-osprey-doc-0.08-r0.apk | 11.8 KiB | 2024-12-28 04:55:18 |
| perl-dancer2-1.1.2-r0.apk | 162.8 KiB | 2024-12-28 04:55:18 |
| perl-dbix-connector-doc-0.60-r0.apk | 22.0 KiB | 2024-12-30 06:38:07 |
| perl-dbix-lite-0.36-r0.apk | 17.8 KiB | 2024-12-30 06:38:07 |
| perl-dbix-connector-0.60-r0.apk | 14.5 KiB | 2024-12-30 06:38:07 |
| perl-dbix-lite-doc-0.36-r0.apk | 17.3 KiB | 2024-12-30 06:38:07 |
| soapy-bladerf-0.4.2-r0.apk | 49.0 KiB | 2024-12-31 01:44:04 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14.4 KiB | 2024-12-31 09:40:19 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 10.7 KiB | 2024-12-31 09:40:19 |
| perl-datetime-format-flexible-doc-0.37-r0.apk | 11.9 KiB | 2024-12-31 13:29:17 |
| perl-datetime-format-flexible-0.37-r0.apk | 17.8 KiB | 2024-12-31 13:29:17 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 33.8 KiB | 2025-01-01 22:19:32 |
| py3-mopidy-local-3.3.0-r0.apk | 27.5 KiB | 2025-01-01 22:19:32 |
| lyrics-in-terminal-1.7.0-r0.apk | 37.8 KiB | 2025-01-03 10:10:06 |
| plfit-dev-1.0.1-r0.apk | 6.2 KiB | 2025-01-04 03:48:08 |
| plfit-libs-1.0.1-r0.apk | 41.8 KiB | 2025-01-04 03:48:08 |
| plfit-static-1.0.1-r0.apk | 50.2 KiB | 2025-01-04 03:48:08 |
| plfit-1.0.1-r0.apk | 56.5 KiB | 2025-01-04 03:48:08 |
| libzrtpcpp-dev-4.7.0-r0.apk | 37.6 KiB | 2025-01-04 21:55:51 |
| libzrtpcpp-4.7.0-r0.apk | 161.8 KiB | 2025-01-04 21:55:51 |
| twinkle-1.10.3-r3.apk | 2.4 MiB | 2025-01-04 21:55:51 |
| twinkle-doc-1.10.3-r3.apk | 3.2 KiB | 2025-01-04 21:55:51 |
| perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 3.9 KiB | 2025-01-05 15:08:41 |
| perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.1 KiB | 2025-01-05 15:08:41 |
| perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.5 KiB | 2025-01-05 15:08:41 |
| perl-datetime-format-atom-1.8.0-r0.apk | 2.9 KiB | 2025-01-05 15:08:41 |
| gkrellm-doc-2.3.11-r0.apk | 18.3 KiB | 2025-01-08 22:37:10 |
| gkrellm-2.3.11-r0.apk | 362.5 KiB | 2025-01-08 22:37:10 |
| gkrellm-dev-2.3.11-r0.apk | 16.2 KiB | 2025-01-08 22:37:10 |
| gkrellm-server-2.3.11-r0.apk | 53.7 KiB | 2025-01-08 22:37:10 |
| gkrellm-lang-2.3.11-r0.apk | 378.9 KiB | 2025-01-08 22:37:10 |
| perl-uri-db-0.23-r0.apk | 10.6 KiB | 2025-01-09 05:27:37 |
| perl-uri-db-doc-0.23-r0.apk | 8.1 KiB | 2025-01-09 05:27:37 |
| tree-sitter-haskell-0.23.1-r0.apk | 285.2 KiB | 2025-01-09 15:59:02 |
| lomiri-notifications-1.3.1-r0.apk | 98.9 KiB | 2025-01-10 10:22:33 |
| libqofono-0.124-r0.apk | 984 B | 2025-01-10 12:05:54 |
| libqofono-qt6-0.124-r0.apk | 448.6 KiB | 2025-01-10 12:05:54 |
| libqofono-qt5-0.124-r0.apk | 295.4 KiB | 2025-01-10 12:05:54 |
| libqofono-dev-0.124-r0.apk | 41.8 KiB | 2025-01-10 12:05:54 |
| py3-blockdiag-3.0.0-r6.apk | 67.8 KiB | 2025-01-10 12:33:23 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-01-10 12:33:23 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 148.6 KiB | 2025-01-10 12:33:23 |
| monopd-openrc-0.10.4-r0.apk | 1.4 KiB | 2025-01-11 11:11:53 |
| monopd-0.10.4-r0.apk | 91.5 KiB | 2025-01-11 11:11:53 |
| typstyle-0.12.14-r0.apk | 555.8 KiB | 2025-01-12 14:14:13 |
| elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-01-12 20:37:16 |
| zutty-0.16-r0.apk | 157.4 KiB | 2025-01-12 21:01:59 |
| zutty-doc-0.16-r0.apk | 66.3 KiB | 2025-01-12 21:01:59 |
| libxo-1.7.5-r0.apk | 184.8 KiB | 2025-01-12 22:46:09 |
| libxo-dev-1.7.5-r0.apk | 77.7 KiB | 2025-01-12 22:46:09 |
| libxo-doc-1.7.5-r0.apk | 62.6 KiB | 2025-01-12 22:46:09 |
| diceware-1.0.1-r0.apk | 333.8 KiB | 2025-01-13 22:50:46 |
| diceware-pyc-1.0.1-r0.apk | 17.8 KiB | 2025-01-13 22:50:46 |
| aoetools-doc-37-r2.apk | 13.5 KiB | 2025-01-14 14:00:39 |
| aoetools-37-r2.apk | 26.7 KiB | 2025-01-14 14:00:39 |
| highfive-2.10.1-r0.apk | 75.0 KiB | 2025-01-15 02:51:12 |
| libm4rie-20200125-r5.apk | 198.4 KiB | 2025-01-15 18:08:38 |
| libm4rie-dev-20200125-r5.apk | 24.1 KiB | 2025-01-15 18:08:38 |
| libm4ri-dev-20240729-r2.apk | 31.3 KiB | 2025-01-15 18:08:38 |
| libm4ri-20240729-r2.apk | 144.3 KiB | 2025-01-15 18:08:38 |
| libm4ri-static-20240729-r2.apk | 159.4 KiB | 2025-01-15 18:08:38 |
| libm4rie-static-20200125-r5.apk | 220.9 KiB | 2025-01-15 18:08:38 |
| py3-spnego-0.11.2-r0.apk | 117.6 KiB | 2025-01-16 07:54:27 |
| py3-spnego-pyc-0.11.2-r0.apk | 218.8 KiB | 2025-01-16 07:54:27 |
| perl-libintl-perl-doc-1.35-r0.apk | 571.2 KiB | 2025-01-16 13:36:03 |
| perl-libintl-perl-1.35-r0.apk | 304.7 KiB | 2025-01-16 13:36:03 |
| perl-dbix-class-0.082844-r0.apk | 355.2 KiB | 2025-01-16 17:28:49 |
| perl-dbix-class-doc-0.082844-r0.apk | 420.2 KiB | 2025-01-16 17:28:49 |
| nuzzle-1.6-r0.apk | 11.6 KiB | 2025-01-17 14:16:31 |
| nuzzle-doc-1.6-r0.apk | 2.9 KiB | 2025-01-17 14:16:31 |
| py3-isbnlib-3.10.14-r0.apk | 42.4 KiB | 2025-01-19 11:58:23 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 66.4 KiB | 2025-01-19 11:58:23 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 48.5 KiB | 2025-01-19 11:58:23 |
| py3-bibtexparser-1.4.3-r0.apk | 40.0 KiB | 2025-01-19 11:58:23 |
| trace-cmd-3.3.1-r1.apk | 172.4 KiB | 2025-01-19 11:58:50 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3.1 KiB | 2025-01-19 11:58:50 |
| trace-cmd-doc-3.3.1-r1.apk | 171.0 KiB | 2025-01-19 11:58:51 |
| trace-cmd-dbg-3.3.1-r1.apk | 470.4 KiB | 2025-01-19 11:58:51 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 46.7 KiB | 2025-01-19 16:40:41 |
| py3-flask-limiter-3.10.1-r0.apk | 26.3 KiB | 2025-01-19 16:40:41 |
| tang-15-r0.apk | 16.3 KiB | 2025-01-20 04:17:44 |
| tang-dbg-15-r0.apk | 30.4 KiB | 2025-01-20 04:17:44 |
| clevis-doc-21-r0.apk | 22.9 KiB | 2025-01-20 04:17:44 |
| clevis-dbg-21-r0.apk | 61.7 KiB | 2025-01-20 04:17:44 |
| clevis-21-r0.apk | 57.8 KiB | 2025-01-20 04:17:44 |
| tang-doc-15-r0.apk | 20.3 KiB | 2025-01-20 04:17:44 |
| tang-openrc-15-r0.apk | 1.6 KiB | 2025-01-20 04:17:44 |
| clevis-bash-completion-21-r0.apk | 1.8 KiB | 2025-01-20 04:17:44 |
| kodaskanna-0.2.2-r0.apk | 55.1 KiB | 2025-01-20 08:17:32 |
| kodaskanna-lang-0.2.2-r0.apk | 22.9 KiB | 2025-01-20 08:17:32 |
| py3-cdio-2.1.1-r6.apk | 100.6 KiB | 2025-01-20 20:44:24 |
| py3-cdio-pyc-2.1.1-r6.apk | 42.9 KiB | 2025-01-20 20:44:24 |
| vcdimager-dev-2.0.1-r5.apk | 129.0 KiB | 2025-01-22 10:34:03 |
| vcdimager-2.0.1-r5.apk | 483.7 KiB | 2025-01-22 10:34:03 |
| vcdimager-doc-2.0.1-r5.apk | 74.0 KiB | 2025-01-22 10:34:03 |
| py3-milc-1.9.1-r0.apk | 25.7 KiB | 2025-01-25 16:05:11 |
| py3-milc-pyc-1.9.1-r0.apk | 41.2 KiB | 2025-01-25 16:05:11 |
| py3-pytaglib-3.0.0-r0.apk | 40.4 KiB | 2025-01-26 19:55:10 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2.6 KiB | 2025-01-26 19:55:10 |
| opendht-3.1.11-r0.apk | 181.9 KiB | 2025-01-27 20:57:08 |
| opendht-doc-3.1.11-r0.apk | 2.8 KiB | 2025-01-27 20:57:08 |
| opendht-dev-3.1.11-r0.apk | 70.6 KiB | 2025-01-27 20:57:08 |
| opendht-libs-3.1.11-r0.apk | 584.2 KiB | 2025-01-27 20:57:08 |
| py3-opendht-3.1.11-r0.apk | 147.8 KiB | 2025-01-27 20:57:08 |
| fastd-doc-23-r0.apk | 3.0 KiB | 2025-01-27 21:33:29 |
| fastd-openrc-23-r0.apk | 1.4 KiB | 2025-01-27 21:33:29 |
| fastd-23-r0.apk | 72.8 KiB | 2025-01-27 21:33:29 |
| py3-ioctl-opt-1.3-r0.apk | 11.4 KiB | 2025-01-27 21:38:09 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4.4 KiB | 2025-01-27 21:38:09 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11.4 KiB | 2025-01-29 06:49:50 |
| py3-wgconfig-1.1.0-r0.apk | 21.7 KiB | 2025-01-29 06:49:50 |
| qpdfview-0.5-r2.apk | 1.0 MiB | 2025-01-29 19:22:38 |
| py3-poppler-qt5-21.3.0-r2.apk | 127.7 KiB | 2025-01-29 19:22:38 |
| qpdfview-doc-0.5-r2.apk | 4.0 KiB | 2025-01-29 19:22:38 |
| metadata-cleaner-2.5.6-r0.apk | 48.9 KiB | 2025-01-31 15:22:55 |
| metadata-cleaner-lang-2.5.6-r0.apk | 65.6 KiB | 2025-01-31 15:22:55 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-01-31 15:22:55 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5.0 KiB | 2025-01-31 16:17:25 |
| gtk-session-lock-0.2.0-r0.apk | 36.3 KiB | 2025-01-31 16:17:25 |
| gtklock-doc-4.0.0-r0.apk | 2.7 KiB | 2025-01-31 16:17:25 |
| gtklock-4.0.0-r0.apk | 19.9 KiB | 2025-01-31 16:17:25 |
| fuzzylite-6.0-r2.apk | 4.3 KiB | 2025-01-31 21:54:50 |
| fuzzylite-libs-6.0-r2.apk | 353.6 KiB | 2025-01-31 21:54:50 |
| fuzzylite-dev-6.0-r2.apk | 68.2 KiB | 2025-01-31 21:54:50 |
| fuzzylite-doc-6.0-r2.apk | 1.8 KiB | 2025-01-31 21:54:50 |
| rosenpass-0.2.2-r1.apk | 1.0 MiB | 2025-02-01 18:23:53 |
| svgbob-0.7.6-r0.apk | 499.1 KiB | 2025-02-01 19:56:15 |
| ttyper-1.6.0-r0.apk | 643.6 KiB | 2025-02-05 21:53:11 |
| gamemode-doc-1.8.2-r0.apk | 7.3 KiB | 2025-02-05 23:41:48 |
| gamemode-1.8.2-r0.apk | 77.2 KiB | 2025-02-05 23:41:48 |
| gamemode-dev-1.8.2-r0.apk | 4.8 KiB | 2025-02-05 23:41:48 |
| py3-pynest2d-5.2.2-r5.apk | 273.7 KiB | 2025-02-06 04:46:28 |
| libnest2d-0.4-r7.apk | 980 B | 2025-02-06 04:46:28 |
| libnest2d-dev-0.4-r7.apk | 69.6 KiB | 2025-02-06 04:46:28 |
| binwalk-3.1.0-r0.apk | 1.1 MiB | 2025-02-07 15:51:02 |
| aptdec-dev-1.8.0-r1.apk | 3.2 KiB | 2025-02-08 23:41:17 |
| aptdec-libs-1.8.0-r1.apk | 17.2 KiB | 2025-02-08 23:41:17 |
| aptdec-1.8.0-r1.apk | 86.4 KiB | 2025-02-08 23:41:17 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 6.8 KiB | 2025-02-09 15:14:28 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11.4 KiB | 2025-02-09 15:14:28 |
| kimchi-3.0.0-r8.apk | 528.6 KiB | 2025-02-10 20:43:45 |
| kimchi-pyc-3.0.0-r8.apk | 475.8 KiB | 2025-02-10 20:43:45 |
| kimchi-lang-3.0.0-r8.apk | 171.6 KiB | 2025-02-10 20:43:45 |
| rsstail-doc-2.2-r0.apk | 2.5 KiB | 2025-02-13 07:17:55 |
| rsstail-2.2-r0.apk | 9.3 KiB | 2025-02-13 07:17:55 |
| libxml++-dev-5.4.0-r0.apk | 29.1 KiB | 2025-02-13 07:48:15 |
| libxml++-5.4.0-r0.apk | 67.2 KiB | 2025-02-13 07:48:15 |
| barrier-2.4.0-r2.apk | 984.7 KiB | 2025-02-18 22:21:20 |
| barrier-doc-2.4.0-r2.apk | 12.7 KiB | 2025-02-18 22:21:20 |
| click-pyc-0.5.2-r4.apk | 174.5 KiB | 2025-02-18 22:21:21 |
| click-0.5.2-r4.apk | 161.9 KiB | 2025-02-18 22:21:21 |
| click-dev-0.5.2-r4.apk | 8.9 KiB | 2025-02-18 22:21:21 |
| click-doc-0.5.2-r4.apk | 3.0 KiB | 2025-02-18 22:21:21 |
| dhewm3-1.5.4-r0.apk | 5.2 MiB | 2025-02-18 22:21:28 |
| draco-dev-1.5.7-r2.apk | 204.5 KiB | 2025-02-18 22:21:31 |
| draco-tools-1.5.7-r2.apk | 1.2 MiB | 2025-02-18 22:21:31 |
| draco-1.5.7-r2.apk | 855.3 KiB | 2025-02-18 22:21:31 |
| draco-static-1.5.7-r2.apk | 1.5 MiB | 2025-02-18 22:21:31 |
| flann-doc-1.9.2-r1.apk | 2.3 KiB | 2025-02-18 22:21:33 |
| flann-1.9.2-r1.apk | 1.7 MiB | 2025-02-18 22:21:33 |
| flann-dev-1.9.2-r1.apk | 1014.0 KiB | 2025-02-18 22:21:33 |
| gmenuharness-dev-0.1.4-r2.apk | 3.8 KiB | 2025-02-18 22:21:42 |
| gmenuharness-0.1.4-r2.apk | 39.7 KiB | 2025-02-18 22:21:42 |
| gstreamermm-1.10.0-r6.apk | 512.0 KiB | 2025-02-18 22:21:44 |
| gstreamermm-dev-1.10.0-r6.apk | 309.5 KiB | 2025-02-18 22:21:44 |
| litehtml-dev-0.9-r2.apk | 41.4 KiB | 2025-02-18 22:21:45 |
| libqtdbusmock-0.9.1-r2.apk | 71.7 KiB | 2025-02-18 22:21:45 |
| litehtml-0.9-r2.apk | 351.9 KiB | 2025-02-18 22:21:45 |
| litehtml-static-0.9-r2.apk | 561.2 KiB | 2025-02-18 22:21:45 |
| pcl-dev-1.14.1-r0.apk | 386.6 KiB | 2025-02-18 22:22:29 |
| openvpn3-dev-3.8.5-r1.apk | 666.8 KiB | 2025-02-18 22:22:29 |
| openvpn3-3.8.5-r1.apk | 398.5 KiB | 2025-02-18 22:22:29 |
| pcl-libs-1.14.1-r0.apk | 1.4 MiB | 2025-02-18 22:22:29 |
| pcl-1.14.1-r0.apk | 525.4 KiB | 2025-02-18 22:22:29 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6.3 KiB | 2025-02-18 22:22:31 |
| py3-pymsteams-0.2.5-r0.apk | 12.1 KiB | 2025-02-18 22:22:31 |
| repowerd-2023.07-r3.apk | 914.1 KiB | 2025-02-18 22:22:32 |
| repowerd-openrc-2023.07-r3.apk | 1.4 KiB | 2025-02-18 22:22:32 |
| bochs-doc-2.8-r1.apk | 138.7 KiB | 2025-02-20 18:30:51 |
| bochs-2.8-r1.apk | 927.2 KiB | 2025-02-20 18:30:51 |
| sauerbraten-2020.12.29-r4.apk | 934.5 MiB | 2025-02-20 18:32:14 |
| py3-marshmallow-3.26.1-r0.apk | 47.3 KiB | 2025-02-21 22:42:12 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 84.6 KiB | 2025-02-21 22:42:12 |
| ueberzug-18.3.1-r0.apk | 65.3 KiB | 2025-02-22 11:09:34 |
| ueberzug-pyc-18.3.1-r0.apk | 63.5 KiB | 2025-02-22 11:09:34 |
| azpainter-3.0.11-r0.apk | 935.1 KiB | 2025-02-22 11:10:28 |
| kirc-0.3.3-r0.apk | 15.1 KiB | 2025-02-22 11:10:28 |
| kirc-doc-0.3.3-r0.apk | 2.5 KiB | 2025-02-22 11:10:28 |
| azpainter-doc-3.0.11-r0.apk | 41.6 KiB | 2025-02-22 11:10:28 |
| rdrview-0.1.3-r0.apk | 32.4 KiB | 2025-02-22 19:27:48 |
| rdrview-doc-0.1.3-r0.apk | 3.4 KiB | 2025-02-22 19:27:48 |
| porla-doc-0.41.0-r2.apk | 1.9 KiB | 2025-02-24 15:35:53 |
| porla-openrc-0.41.0-r2.apk | 2.4 KiB | 2025-02-24 15:35:53 |
| porla-0.41.0-r2.apk | 3.6 MiB | 2025-02-24 15:35:53 |
| php81-pecl-memprof-3.1.0-r0.apk | 14.0 KiB | 2025-02-24 17:23:52 |
| php84-pecl-memprof-3.1.0-r0.apk | 14.0 KiB | 2025-02-24 17:23:52 |
| ecasound-2.9.3-r4.apk | 704.6 KiB | 2025-02-24 21:25:48 |
| ecasound-dev-2.9.3-r4.apk | 1.2 MiB | 2025-02-24 21:25:48 |
| shntool-3.0.10-r5.apk | 59.2 KiB | 2025-02-24 21:25:48 |
| ecasound-doc-2.9.3-r4.apk | 38.2 KiB | 2025-02-24 21:25:48 |
| shntool-doc-3.0.10-r5.apk | 10.0 KiB | 2025-02-24 21:25:48 |
| xa-2.4.1-r0.apk | 89.7 KiB | 2025-02-25 12:37:20 |
| xa-doc-2.4.1-r0.apk | 16.9 KiB | 2025-02-25 12:37:20 |
| belle-sip-5.3.105-r0.apk | 624.1 KiB | 2025-02-25 12:54:05 |
| belle-sip-dev-5.3.105-r0.apk | 53.6 KiB | 2025-02-25 12:54:05 |
| belcard-dev-5.3.105-r0.apk | 11.2 KiB | 2025-02-25 12:54:05 |
| belcard-libs-5.3.105-r0.apk | 221.0 KiB | 2025-02-25 12:54:05 |
| belcard-5.3.105-r0.apk | 16.0 KiB | 2025-02-25 12:54:05 |
| belr-dev-5.3.105-r0.apk | 14.3 KiB | 2025-02-25 12:54:47 |
| belr-5.3.105-r0.apk | 116.2 KiB | 2025-02-25 12:54:47 |
| php81-pecl-csv-0.4.3-r0.apk | 10.0 KiB | 2025-02-25 15:46:05 |
| php84-pecl-csv-0.4.3-r0.apk | 9.9 KiB | 2025-02-25 15:46:05 |
| wayfire-plugins-extra-0.9.0-r0.apk | 593.2 KiB | 2025-02-27 20:19:28 |
| wf-config-0.9.0-r0.apk | 111.8 KiB | 2025-02-27 20:19:28 |
| wayfire-doc-0.9.0-r0.apk | 3.4 KiB | 2025-02-27 20:19:28 |
| wf-config-dev-0.9.0-r0.apk | 16.0 KiB | 2025-02-27 20:19:28 |
| wayfire-dev-0.9.0-r0.apk | 130.5 KiB | 2025-02-27 20:19:28 |
| wcm-0.9.0-r0.apk | 372.2 KiB | 2025-02-27 20:19:28 |
| wayfire-0.9.0-r0.apk | 2.6 MiB | 2025-02-27 20:19:28 |
| wf-shell-doc-0.9.0-r0.apk | 2.8 KiB | 2025-02-27 20:19:29 |
| wf-shell-0.9.0-r0.apk | 6.1 MiB | 2025-02-27 20:19:29 |
| wf-shell-dev-0.9.0-r0.apk | 1.4 KiB | 2025-02-27 20:19:29 |
| cargo-crev-0.26.3-r0.apk | 6.5 MiB | 2025-03-02 16:49:24 |
| spotify-player-0.20.4-r0.apk | 4.5 MiB | 2025-03-02 16:49:27 |
| yices2-dev-2.6.5-r0.apk | 41.1 KiB | 2025-03-02 23:06:43 |
| yices2-libs-2.6.5-r0.apk | 1012.9 KiB | 2025-03-02 23:06:43 |
| yices2-2.6.5-r0.apk | 2.7 MiB | 2025-03-02 23:06:43 |
| libuecc-dev-7-r4.apk | 4.4 KiB | 2025-03-03 16:06:32 |
| libuecc-7-r4.apk | 9.9 KiB | 2025-03-03 16:06:32 |
| stockfish-17-r0.apk | 61.8 MiB | 2025-03-03 22:44:33 |
| vim-nerdtree-7.1.3-r0.apk | 66.3 KiB | 2025-03-04 21:01:47 |
| flightgear-2024.1.1-r0.apk | 11.1 MiB | 2025-03-05 00:21:25 |
| flightgear-bash-completion-2024.1.1-r0.apk | 5.2 KiB | 2025-03-05 00:21:25 |
| flightgear-dbg-2024.1.1-r0.apk | 21.7 MiB | 2025-03-05 00:21:27 |
| simgear-dev-2024.1.1-r0.apk | 403.8 KiB | 2025-03-05 00:21:27 |
| flightgear-doc-2024.1.1-r0.apk | 57.8 KiB | 2025-03-05 00:21:27 |
| flightgear-zsh-completion-2024.1.1-r0.apk | 7.1 KiB | 2025-03-05 00:21:27 |
| simgear-2024.1.1-r0.apk | 2.3 MiB | 2025-03-05 00:21:27 |
| ruff-lsp-pyc-0.0.62-r0.apk | 34.7 KiB | 2025-03-06 22:31:32 |
| ruff-lsp-0.0.62-r0.apk | 21.0 KiB | 2025-03-06 22:31:32 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk | 12.1 KiB | 2025-03-06 22:31:32 |
| cvise-2.11.0-r0.apk | 5.4 MiB | 2025-03-08 13:15:12 |
| cvise-pyc-2.11.0-r0.apk | 59.4 KiB | 2025-03-08 13:15:12 |
| py3-jaraco.vcs-2.4.1-r0.apk | 9.9 KiB | 2025-03-09 09:09:42 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 15.7 KiB | 2025-03-09 09:09:42 |
| gtkhash-1.5-r0.apk | 87.3 KiB | 2025-03-10 19:32:35 |
| gtkhash-lang-1.5-r0.apk | 45.4 KiB | 2025-03-10 19:32:35 |
| thunar-gtkhash-plugin-1.5-r0.apk | 23.1 KiB | 2025-03-10 19:32:35 |
| caja-gtkhash-plugin-1.5-r0.apk | 25.1 KiB | 2025-03-10 19:32:35 |
| nemo-gtkhash-plugin-1.5-r0.apk | 23.3 KiB | 2025-03-10 19:32:35 |
| tree-sitter-dart-0_git20250228-r0.apk | 100.1 KiB | 2025-03-10 23:05:10 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 5.3 KiB | 2025-03-10 23:05:10 |
| jotdown-0.7.0-r0.apk | 244.8 KiB | 2025-03-11 20:13:17 |
| libzvbi-0.2.44-r0.apk | 225.7 KiB | 2025-03-11 21:05:48 |
| libzvbi-static-0.2.44-r0.apk | 304.1 KiB | 2025-03-11 21:05:48 |
| libzvbi-dev-0.2.44-r0.apk | 14.0 KiB | 2025-03-11 21:05:48 |
| zvbi-0.2.44-r0.apk | 179.0 KiB | 2025-03-11 21:05:56 |
| zvbi-doc-0.2.44-r0.apk | 20.8 KiB | 2025-03-11 21:05:56 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk | 526.0 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-0_git20250312-r0.apk | 446.4 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.1 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 504.0 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 519.7 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 477.5 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 482.8 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 481.7 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 484.7 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk | 534.8 KiB | 2025-03-13 12:28:27 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 483.7 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.2 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-nord-light-left-0_git20250312-r0.apk | 524.4 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.3 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 516.9 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk | 536.7 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk | 539.7 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk | 526.3 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.2 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk | 544.2 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.6 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-left-0_git20250312-r0.apk | 448.2 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.3 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.5 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk | 529.6 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 13.6 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk | 547.2 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.3 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk | 527.3 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.4 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 491.9 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk | 532.4 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 524.7 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk | 537.1 KiB | 2025-03-13 12:28:28 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk | 519.6 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk | 533.7 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk | 534.7 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-solarized-light-left-0_git20250312-r0.apk | 541.8 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk | 550.3 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-solarized-light-0_git20250312-r0.apk | 530.8 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.4 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.0 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk | 540.7 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 539.9 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.1 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk | 549.9 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk | 526.6 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.6 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.7 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk | 545.4 KiB | 2025-03-13 12:28:29 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 544.9 KiB | 2025-03-13 12:28:29 |
| yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-03-13 22:33:50 |
| yoshimi-2.3.3.3-r0.apk | 6.1 MiB | 2025-03-13 22:33:50 |
| perl-class-c3-adopt-next-0.14-r0.apk | 4.8 KiB | 2025-03-14 17:36:47 |
| perl-cgi-struct-doc-1.21-r0.apk | 6.5 KiB | 2025-03-14 17:36:47 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.4 KiB | 2025-03-14 17:36:47 |
| perl-cgi-struct-1.21-r0.apk | 7.6 KiB | 2025-03-14 17:36:47 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 3.7 KiB | 2025-03-15 14:09:22 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.2 KiB | 2025-03-15 14:09:22 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7.5 KiB | 2025-03-15 14:09:22 |
| perl-moosex-types-stringlike-0.003-r0.apk | 2.8 KiB | 2025-03-15 14:09:22 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 3.9 KiB | 2025-03-15 14:09:22 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.3 KiB | 2025-03-15 14:09:22 |
| perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk | 5.2 KiB | 2025-03-16 04:16:55 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 3.9 KiB | 2025-03-16 04:16:55 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 3.9 KiB | 2025-03-16 04:16:55 |
| perl-moosex-configfromfile-0.14-r0.apk | 3.8 KiB | 2025-03-16 04:16:55 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3.5 KiB | 2025-03-16 04:16:55 |
| perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk | 5.1 KiB | 2025-03-16 04:16:55 |
| perl-moosex-getopt-0.78-r0.apk | 13.4 KiB | 2025-03-16 04:16:55 |
| perl-moosex-getopt-doc-0.78-r0.apk | 24.5 KiB | 2025-03-16 04:16:55 |
| ampy-doc-1.1.0-r6.apk | 3.8 KiB | 2025-03-19 13:43:20 |
| ampy-1.1.0-r6.apk | 15.2 KiB | 2025-03-19 13:43:20 |
| ampy-pyc-1.1.0-r6.apk | 19.2 KiB | 2025-03-19 13:43:20 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 18.9 KiB | 2025-03-19 13:43:49 |
| perl-json-validator-doc-5.15-r0.apk | 33.6 KiB | 2025-03-19 13:45:24 |
| perl-uri-ws-0.03-r0.apk | 2.1 KiB | 2025-03-19 13:45:24 |
| perl-text-simpletable-2.07-r0.apk | 4.2 KiB | 2025-03-19 13:45:24 |
| perl-color-rgb-util-0.609-r0.apk | 9.2 KiB | 2025-03-19 13:45:24 |
| perl-plack-middleware-methodoverride-doc-0.20-r0.apk | 3.8 KiB | 2025-03-19 13:45:24 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 19.6 KiB | 2025-03-19 13:45:24 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.1 KiB | 2025-03-19 13:45:24 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.2 KiB | 2025-03-19 13:45:24 |
| perl-catalyst-runtime-5.90132-r0.apk | 149.4 KiB | 2025-03-19 13:45:24 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 45.9 KiB | 2025-03-19 13:45:24 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 2.9 KiB | 2025-03-19 13:45:24 |
| perl-tie-toobject-doc-0.03-r0.apk | 2.9 KiB | 2025-03-19 13:45:24 |
| perl-uri-ws-doc-0.03-r0.apk | 4.1 KiB | 2025-03-19 13:45:24 |
| perl-tie-toobject-0.03-r0.apk | 2.3 KiB | 2025-03-19 13:45:24 |
| perl-text-simpletable-doc-2.07-r0.apk | 3.2 KiB | 2025-03-19 13:45:24 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3.4 KiB | 2025-03-19 13:45:24 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 21.8 KiB | 2025-03-19 13:45:24 |
| perl-plack-test-externalserver-0.02-r0.apk | 2.6 KiB | 2025-03-19 13:45:24 |
| perl-json-validator-5.15-r0.apk | 57.9 KiB | 2025-03-19 13:45:24 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 216.1 KiB | 2025-03-19 13:45:24 |
| perl-moosex-methodattributes-0.32-r0.apk | 8.3 KiB | 2025-03-19 13:45:24 |
| perl-color-rgb-util-doc-0.609-r0.apk | 7.1 KiB | 2025-03-19 13:45:24 |
| py3-agithub-pyc-2.2.2-r7.apk | 21.0 KiB | 2025-03-19 13:45:31 |
| py3-agithub-2.2.2-r7.apk | 18.6 KiB | 2025-03-19 13:45:31 |
| py3-mistletoe-1.4.0-r0.apk | 44.3 KiB | 2025-03-19 13:45:33 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 92.7 KiB | 2025-03-19 13:45:33 |
| perl-data-visitor-doc-0.32-r0.apk | 8.0 KiB | 2025-03-19 14:53:34 |
| perl-data-visitor-0.32-r0.apk | 9.5 KiB | 2025-03-19 14:53:34 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 3.8 KiB | 2025-03-19 14:53:34 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3.5 KiB | 2025-03-19 14:53:34 |
| cataclysm-dda-0h-r0.apk | 19.4 MiB | 2025-03-20 03:10:13 |
| cataclysm-dda-curses-0h-r0.apk | 11.7 MiB | 2025-03-20 03:10:14 |
| cataclysm-dda-doc-0h-r0.apk | 4.4 KiB | 2025-03-20 03:10:14 |
| cataclysm-dda-lang-0h-r0.apk | 37.6 MiB | 2025-03-20 03:10:18 |
| cataclysm-dda-tiles-0h-r0.apk | 48.8 MiB | 2025-03-20 03:10:22 |
| perl-catalyst-devel-1.42-r0.apk | 53.8 KiB | 2025-03-20 16:06:11 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.2 KiB | 2025-03-20 16:06:11 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11.1 KiB | 2025-03-20 16:06:11 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5.1 KiB | 2025-03-20 16:06:11 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.1 KiB | 2025-03-20 16:06:11 |
| perl-file-changenotify-doc-0.31-r0.apk | 13.7 KiB | 2025-03-20 16:06:11 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.4 KiB | 2025-03-20 16:06:11 |
| perl-file-changenotify-0.31-r0.apk | 11.8 KiB | 2025-03-20 16:06:11 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 11.1 KiB | 2025-03-21 11:52:50 |
| tanidvr-1.4.1-r2.apk | 22.3 KiB | 2025-03-21 11:52:50 |
| perl-uri-find-20160806-r0.apk | 13.4 KiB | 2025-03-23 07:59:22 |
| perl-uri-find-doc-20160806-r0.apk | 8.9 KiB | 2025-03-23 07:59:22 |
| efl-1.28.1-r2.apk | 34.1 MiB | 2025-03-23 15:04:00 |
| efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-03-23 15:04:01 |
| efl-gdb-1.28.1-r2.apk | 1.4 KiB | 2025-03-23 15:04:01 |
| pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-03-25 07:55:34 |
| pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-03-25 07:55:34 |
| trigger-rally-0.6.7-r3.apk | 312.0 KiB | 2025-03-25 15:24:30 |
| trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-03-25 15:25:02 |
| trigger-rally-doc-0.6.7-r3.apk | 27.9 KiB | 2025-03-25 15:25:02 |
| leptosfmt-0.1.33-r0.apk | 1.1 MiB | 2025-03-25 15:55:17 |
| leptosfmt-doc-0.1.33-r0.apk | 5.8 KiB | 2025-03-25 15:55:17 |
| taskwarrior-tui-doc-0.26.3-r0.apk | 3.7 KiB | 2025-03-26 10:27:19 |
| taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1.5 KiB | 2025-03-26 10:27:19 |
| taskwarrior-tui-bash-completion-0.26.3-r0.apk | 1.7 KiB | 2025-03-26 10:27:19 |
| taskwarrior-tui-0.26.3-r0.apk | 1.2 MiB | 2025-03-26 10:27:19 |
| cherrytree-1.4.0-r0.apk | 2.5 MiB | 2025-03-26 17:41:22 |
| cherrytree-doc-1.4.0-r0.apk | 1.8 KiB | 2025-03-26 17:41:22 |
| cherrytree-lang-1.4.0-r0.apk | 858.3 KiB | 2025-03-26 17:41:22 |
| gpscorrelate-lang-2.3-r0.apk | 17.1 KiB | 2025-03-27 06:36:56 |
| gpscorrelate-2.3-r0.apk | 52.4 KiB | 2025-03-27 06:36:56 |
| gpscorrelate-doc-2.3-r0.apk | 284.7 KiB | 2025-03-27 06:36:56 |
| gpscorrelate-cli-2.3-r0.apk | 27.5 KiB | 2025-03-27 06:36:56 |
| php81-pecl-timezonedb-2025.2-r0.apk | 189.0 KiB | 2025-03-27 11:07:18 |
| hfst-3.16.2-r0.apk | 1.5 MiB | 2025-03-28 16:14:17 |
| hfst-dev-3.16.2-r0.apk | 208.7 KiB | 2025-03-28 16:14:17 |
| hfst-libs-3.16.2-r0.apk | 2.0 MiB | 2025-03-28 16:14:17 |
| hfst-doc-3.16.2-r0.apk | 69.4 KiB | 2025-03-28 16:14:17 |
| kotlin-language-server-1.3.13-r0.apk | 83.3 MiB | 2025-03-28 16:14:24 |
| perl-string-escape-doc-2010.002-r0.apk | 7.6 KiB | 2025-03-28 16:14:31 |
| perl-string-escape-2010.002-r0.apk | 8.6 KiB | 2025-03-28 16:14:31 |
| py3-hfst-3.16.2-r0.apk | 368.3 KiB | 2025-03-28 16:14:32 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.0 KiB | 2025-03-29 08:34:40 |
| perl-catalyst-plugin-authentication-doc-0.10024-r0.apk | 55.6 KiB | 2025-03-29 08:34:40 |
| dum-0.1.20-r1.apk | 380.3 KiB | 2025-03-29 14:01:59 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 26.8 KiB | 2025-03-31 14:11:30 |
| perl-catalyst-action-rest-1.21-r0.apk | 25.1 KiB | 2025-03-31 14:11:30 |
| identme-0.6.0-r0.apk | 47.8 KiB | 2025-04-03 12:34:27 |
| perl-catalyst-manual-5.9013-r0.apk | 2.4 KiB | 2025-04-04 05:24:08 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 374.9 KiB | 2025-04-04 05:24:08 |
| perl-catalyst-authentication-credential-http-1.018-r0.apk | 8.3 KiB | 2025-04-04 05:40:57 |
| perl-catalyst-authentication-credential-http-doc-1.018-r0.apk | 6.5 KiB | 2025-04-04 05:40:57 |
| perl-catalyst-controller-actionrole-doc-0.17-r0.apk | 4.2 KiB | 2025-04-04 05:42:09 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 4.7 KiB | 2025-04-04 05:42:09 |
| perl-email-sender-doc-2.601-r0.apk | 41.8 KiB | 2025-04-04 08:56:19 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 3.9 KiB | 2025-04-04 08:56:19 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.0 KiB | 2025-04-04 08:56:19 |
| perl-catalyst-view-email-0.36-r0.apk | 8.8 KiB | 2025-04-04 08:56:19 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 10.5 KiB | 2025-04-04 08:56:19 |
| perl-email-sender-2.601-r0.apk | 24.4 KiB | 2025-04-04 08:56:19 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14.2 KiB | 2025-04-04 11:57:28 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 24.9 KiB | 2025-04-04 11:57:28 |
| perl-object-signature-doc-1.08-r0.apk | 5.1 KiB | 2025-04-04 11:57:28 |
| perl-object-signature-1.08-r0.apk | 3.4 KiB | 2025-04-04 11:57:28 |
| ircd-hybrid-8.2.47-r0.apk | 515.8 KiB | 2025-04-05 09:56:17 |
| ircd-hybrid-doc-8.2.47-r0.apk | 3.4 KiB | 2025-04-05 09:56:17 |
| wabt-doc-1.0.37-r0.apk | 13.2 KiB | 2025-04-06 21:41:18 |
| wabt-1.0.37-r0.apk | 4.4 MiB | 2025-04-06 21:41:18 |
| gambit-dev-4.9.5-r1.apk | 7.2 MiB | 2025-04-07 07:48:25 |
| gambit-4.9.5-r1.apk | 7.3 MiB | 2025-04-07 07:48:25 |
| gambit-doc-4.9.5-r1.apk | 4.1 KiB | 2025-04-07 07:48:25 |
| welle-cli-2.7-r0.apk | 318.0 KiB | 2025-04-07 19:08:11 |
| welle-io-doc-2.7-r0.apk | 3.7 KiB | 2025-04-07 19:08:11 |
| welle-io-2.7-r0.apk | 412.6 KiB | 2025-04-07 19:08:11 |
| ovos-messagebus-0.0.10-r0.apk | 9.7 KiB | 2025-04-08 07:45:19 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6.4 KiB | 2025-04-08 07:45:19 |
| php84-snappy-0.2.3-r0.apk | 5.4 KiB | 2025-04-09 22:06:20 |
| php82-snappy-0.2.3-r0.apk | 5.4 KiB | 2025-04-09 22:06:20 |
| solanum-6.0.0-r0.apk | 275.5 KiB | 2025-04-10 13:01:07 |
| solanum-lang-6.0.0-r0.apk | 47.1 KiB | 2025-04-10 13:01:07 |
| decoder-0.7.0-r0.apk | 2.1 MiB | 2025-04-10 13:25:30 |
| decoder-lang-0.7.0-r0.apk | 58.7 KiB | 2025-04-10 13:25:30 |
| libretro-ppsspp-0_git20210516-r15.apk | 2.2 MiB | 2025-04-10 20:33:20 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 1.7 KiB | 2025-04-12 05:45:18 |
| py3-slidge-style-parser-0.1.9-r0.apk | 237.0 KiB | 2025-04-12 05:45:18 |
| py3-doi-0.2-r0.apk | 5.9 KiB | 2025-04-12 12:09:54 |
| py3-doi-pyc-0.2-r0.apk | 4.5 KiB | 2025-04-12 12:09:54 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2.0 KiB | 2025-04-12 12:21:37 |
| emacs-lsp-booster-0.2.1-r0.apk | 462.1 KiB | 2025-04-12 12:21:37 |
| font-aref-ruqaa-1.006-r0.apk | 357.0 KiB | 2025-04-12 12:25:48 |
| usbtop-1.0-r0.apk | 14.7 KiB | 2025-04-12 12:27:09 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 12.4 KiB | 2025-04-12 15:52:41 |
| perl-template-timer-doc-1.00-r0.apk | 3.4 KiB | 2025-04-12 15:52:41 |
| perl-template-timer-1.00-r0.apk | 3.2 KiB | 2025-04-12 15:52:41 |
| perl-catalyst-view-tt-0.46-r0.apk | 13.4 KiB | 2025-04-12 15:52:41 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16.2 KiB | 2025-04-13 09:25:47 |
| nfoview-2.1-r0.apk | 38.4 KiB | 2025-04-13 10:30:30 |
| nfoview-doc-2.1-r0.apk | 7.7 KiB | 2025-04-13 10:30:30 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 11.9 KiB | 2025-04-13 14:57:49 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 9.8 KiB | 2025-04-13 14:57:49 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6.2 KiB | 2025-04-13 14:57:49 |
| perl-test-www-mechanize-1.60-r0.apk | 14.6 KiB | 2025-04-13 14:57:49 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7.5 KiB | 2025-04-13 14:57:49 |
| perl-carp-assert-more-2.9.0-r0.apk | 8.7 KiB | 2025-04-13 14:57:49 |
| sqruff-doc-0.25.26-r0.apk | 8.4 KiB | 2025-04-13 20:33:20 |
| sqruff-0.25.26-r0.apk | 2.3 MiB | 2025-04-13 20:33:20 |
| libresprite-doc-1.2-r0.apk | 14.5 KiB | 2025-04-13 22:18:19 |
| libresprite-1.2-r0.apk | 15.1 MiB | 2025-04-13 22:18:19 |
| cpplint-pyc-2.0.2-r0.apk | 99.2 KiB | 2025-04-14 00:18:00 |
| cpplint-2.0.2-r0.apk | 80.0 KiB | 2025-04-14 00:18:00 |
| py3-ecbdata-0.1.1-r0.apk | 13.2 KiB | 2025-04-14 00:18:02 |
| capnet-assist-lang-8.0.0-r0.apk | 37.0 KiB | 2025-04-14 10:08:27 |
| capnet-assist-8.0.0-r0.apk | 44.2 KiB | 2025-04-14 10:08:27 |
| zita-resampler-1.11.2-r0.apk | 26.5 KiB | 2025-04-14 21:17:32 |
| zita-resampler-doc-1.11.2-r0.apk | 3.8 KiB | 2025-04-14 21:17:32 |
| zita-resampler-dev-1.11.2-r0.apk | 3.0 KiB | 2025-04-14 21:17:32 |
| yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-04-15 21:59:44 |
| py3-pysonic-pyc-1.0.3-r0.apk | 32.0 KiB | 2025-04-16 22:48:25 |
| py3-pysonic-1.0.3-r0.apk | 34.5 KiB | 2025-04-16 22:48:25 |
| way-secure-doc-0.2.0-r0.apk | 2.8 KiB | 2025-04-18 22:26:03 |
| way-secure-0.2.0-r0.apk | 225.2 KiB | 2025-04-18 22:26:03 |
| py3-plotly-5.24.1-r2.apk | 20.5 MiB | 2025-04-18 22:26:03 |
| perl-test-file-1.995-r0.apk | 11.2 KiB | 2025-04-19 17:56:54 |
| perl-test-file-doc-1.995-r0.apk | 6.5 KiB | 2025-04-19 17:56:54 |
| perl-expect-1.38-r0.apk | 32.2 KiB | 2025-04-19 19:02:56 |
| perl-expect-doc-1.38-r0.apk | 20.0 KiB | 2025-04-19 19:02:56 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.1 KiB | 2025-04-20 04:33:17 |
| perl-catalyst-plugin-session-state-cookie-0.18-r0.apk | 4.6 KiB | 2025-04-20 04:33:17 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.0 KiB | 2025-04-20 04:33:17 |
| perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk | 4.6 KiB | 2025-04-20 04:33:17 |
| perl-expect-simple-doc-0.04-r0.apk | 4.6 KiB | 2025-04-20 04:38:58 |
| perl-expect-simple-0.04-r0.apk | 5.2 KiB | 2025-04-20 04:38:58 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 5.7 KiB | 2025-04-21 17:33:27 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.2 KiB | 2025-04-21 17:33:27 |
| perl-test-expect-doc-0.34-r0.apk | 3.3 KiB | 2025-04-21 17:35:22 |
| perl-test-expect-0.34-r0.apk | 3.2 KiB | 2025-04-21 17:35:22 |
| symlinks-1.4.3-r0.apk | 6.3 KiB | 2025-04-21 21:27:32 |
| symlinks-doc-1.4.3-r0.apk | 3.6 KiB | 2025-04-21 21:27:32 |
| py3-remind-pyc-0.19.2-r0.apk | 22.3 KiB | 2025-04-22 17:46:34 |
| py3-remind-0.19.2-r0.apk | 24.2 KiB | 2025-04-22 17:46:34 |
| emacs-ement-0.16-r0.apk | 290.4 KiB | 2025-04-23 05:12:02 |
| emacs-elfeed-3.4.2-r0.apk | 90.3 KiB | 2025-04-23 05:12:02 |
| emacs-taxy-magit-section-0.14.3-r0.apk | 17.4 KiB | 2025-04-23 05:43:56 |
| emacs-embark-consult-1.1-r0.apk | 10.2 KiB | 2025-04-23 05:43:56 |
| emacs-avy-embark-collect-1.1-r0.apk | 3.6 KiB | 2025-04-23 05:43:56 |
| emacs-embark-1.1-r0.apk | 110.6 KiB | 2025-04-23 05:43:56 |
| emacs-taxy-0.10.2-r0.apk | 11.2 KiB | 2025-04-23 05:43:56 |
| typobuster-1.0.0-r0.apk | 129.1 KiB | 2025-04-23 22:31:54 |
| sshs-4.7.2-r0.apk | 787.3 KiB | 2025-04-23 22:31:54 |
| perl-devel-repl-doc-1.003029-r0.apk | 59.9 KiB | 2025-04-24 14:50:33 |
| perl-devel-repl-1.003029-r0.apk | 28.1 KiB | 2025-04-24 14:50:33 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 88.7 KiB | 2025-04-25 05:33:32 |
| py3-ovos-bus-client-1.3.4-r0.apk | 49.7 KiB | 2025-04-25 05:33:32 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7.3 KiB | 2025-04-26 08:14:28 |
| perl-lexical-persistence-1.023-r0.apk | 7.3 KiB | 2025-04-26 08:14:28 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.4 KiB | 2025-04-26 10:22:05 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.2 KiB | 2025-04-26 10:22:05 |
| perl-carp-repl-0.18-r0.apk | 5.7 KiB | 2025-04-26 17:12:57 |
| perl-carp-repl-doc-0.18-r0.apk | 5.6 KiB | 2025-04-26 17:12:57 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3.4 KiB | 2025-04-27 15:07:51 |
| perl-catalystx-repl-0.04-r0.apk | 3.0 KiB | 2025-04-27 15:07:51 |
| libstirshaken-0_git20240208-r4.apk | 52.8 KiB | 2025-04-28 19:57:51 |
| libstirshaken-tools-0_git20240208-r4.apk | 170.2 KiB | 2025-04-28 19:57:51 |
| libstirshaken-dev-0_git20240208-r4.apk | 83.5 KiB | 2025-04-28 19:57:51 |
| jedi-language-server-pyc-0.45.1-r0.apk | 49.5 KiB | 2025-04-28 22:59:05 |
| jedi-language-server-0.45.1-r0.apk | 31.5 KiB | 2025-04-28 22:59:05 |
| flamelens-0.3.1-r0.apk | 1.2 MiB | 2025-04-29 08:21:25 |
| flamelens-doc-0.3.1-r0.apk | 3.4 KiB | 2025-04-29 08:21:25 |
| nvtop-doc-3.2.0-r0.apk | 3.3 KiB | 2025-04-29 23:40:04 |
| nvtop-3.2.0-r0.apk | 66.5 KiB | 2025-04-29 23:40:04 |
| emacs-total-recall-examples-0_git20250426-r0.apk | 13.7 KiB | 2025-05-04 02:50:16 |
| lcalc-2.1.0-r0.apk | 178.2 KiB | 2025-05-04 02:50:16 |
| b2-tools-pyc-4.3.2-r0.apk | 135.6 KiB | 2025-05-04 02:50:16 |
| lcalc-dev-2.1.0-r0.apk | 51.1 KiB | 2025-05-04 02:50:16 |
| emacs-total-recall-0_git20250426-r0.apk | 17.3 KiB | 2025-05-04 02:50:16 |
| b2-tools-4.3.2-r0.apk | 72.2 KiB | 2025-05-04 02:50:16 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2.5 KiB | 2025-05-04 02:50:17 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3.2 KiB | 2025-05-04 02:50:17 |
| nicotine-plus-lang-3.3.10-r0.apk | 756.8 KiB | 2025-05-04 02:50:17 |
| nicotine-plus-pyc-3.3.10-r0.apk | 788.6 KiB | 2025-05-04 02:50:17 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.5 KiB | 2025-05-04 02:50:17 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 4.7 KiB | 2025-05-04 02:50:17 |
| nicotine-plus-doc-3.3.10-r0.apk | 2.3 KiB | 2025-05-04 02:50:17 |
| ol-2.6-r0.apk | 1.0 MiB | 2025-05-04 02:50:17 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.3 KiB | 2025-05-04 02:50:17 |
| lcalc-doc-2.1.0-r0.apk | 436.5 KiB | 2025-05-04 02:50:17 |
| lcalc-libs-2.1.0-r0.apk | 221.0 KiB | 2025-05-04 02:50:17 |
| nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-05-04 02:50:17 |
| perl-html-formhandler-0.40068-r0.apk | 135.2 KiB | 2025-05-04 02:50:17 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.1 KiB | 2025-05-04 02:50:17 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3.0 KiB | 2025-05-04 02:50:17 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 3.9 KiB | 2025-05-04 02:50:17 |
| perl-html-formhandler-doc-0.40068-r0.apk | 323.0 KiB | 2025-05-04 02:50:17 |
| ol-doc-2.6-r0.apk | 2.3 KiB | 2025-05-04 02:50:17 |
| ol-dev-2.6-r0.apk | 15.6 KiB | 2025-05-04 02:50:17 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 3.7 KiB | 2025-05-07 08:00:31 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4.1 KiB | 2025-05-07 08:00:31 |
| perl-catalystx-component-traits-0.19-r0.apk | 4.1 KiB | 2025-05-07 08:00:31 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10.4 KiB | 2025-05-07 08:00:31 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 23.9 KiB | 2025-05-07 08:00:31 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.5 KiB | 2025-05-07 08:00:31 |
| php81-pecl-ds-1.6.0-r0.apk | 63.5 KiB | 2025-05-07 13:17:18 |
| commit-lsp-0.1.0-r0.apk | 2.2 MiB | 2025-05-08 12:40:11 |
| cpdf-doc-2.8.1-r0.apk | 557.8 KiB | 2025-05-08 12:40:12 |
| cpdf-2.8.1-r0.apk | 2.1 MiB | 2025-05-08 12:40:12 |
| libglib-testing-0.1.1-r0.apk | 12.9 KiB | 2025-05-08 12:40:13 |
| libglib-testing-dev-0.1.1-r0.apk | 5.3 KiB | 2025-05-08 12:40:13 |
| libcpdf-dev-2.8.1-r0.apk | 16.5 KiB | 2025-05-08 12:40:13 |
| libcpdf-2.8.1-r0.apk | 2.3 MiB | 2025-05-08 12:40:13 |
| libcpdf-static-2.8.1-r0.apk | 3.6 MiB | 2025-05-08 12:40:13 |
| libglib-testing-doc-0.1.1-r0.apk | 24.8 KiB | 2025-05-08 12:40:13 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 15.8 KiB | 2025-05-08 12:40:15 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.0 KiB | 2025-05-08 12:40:15 |
| ocaml-camlpdf-2.8.1-r0.apk | 6.1 MiB | 2025-05-08 12:40:15 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1.6 KiB | 2025-05-08 12:40:15 |
| spreadtrum_flash-1.20240815-r0.apk | 43.4 KiB | 2025-05-08 12:40:15 |
| py3-cryptg-0.5.0-r0.apk | 202.1 KiB | 2025-05-08 12:40:15 |
| ocaml-cpdf-2.8.1-r0.apk | 5.0 MiB | 2025-05-08 12:40:15 |
| par2cmdline-turbo-1.3.0-r0.apk | 168.2 KiB | 2025-05-08 12:42:49 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 5.6 KiB | 2025-05-08 12:42:49 |
| elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-05-14 17:28:20 |
| hctl-0.2.7-r0.apk | 1.4 MiB | 2025-05-14 17:28:37 |
| lomiri-system-settings-1.3.2-r0.apk | 1.1 MiB | 2025-05-14 17:29:21 |
| lomiri-clock-app-4.1.1-r0.apk | 225.2 KiB | 2025-05-14 17:29:21 |
| lomiri-system-settings-lang-1.3.2-r0.apk | 846.0 KiB | 2025-05-14 17:29:21 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 455.3 KiB | 2025-05-14 17:29:21 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.1 KiB | 2025-05-14 17:29:21 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2.4 KiB | 2025-05-14 17:29:21 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 91.2 KiB | 2025-05-14 17:29:21 |
| lomiri-terminal-app-2.0.5-r0.apk | 63.9 KiB | 2025-05-14 17:29:21 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.7 KiB | 2025-05-14 17:29:21 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 45.5 KiB | 2025-05-14 17:29:21 |
| mage-1.13.0-r23.apk | 1.5 MiB | 2025-05-14 17:29:32 |
| mjpg-streamer-0_git20210220-r2.apk | 195.8 KiB | 2025-05-14 17:29:40 |
| mmar-0.2.5-r1.apk | 2.5 MiB | 2025-05-14 17:29:41 |
| muse-4.2.1-r2.apk | 6.4 MiB | 2025-05-14 17:29:43 |
| muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-05-14 17:29:44 |
| nsq-1.3.0-r10.apk | 24.5 MiB | 2025-05-14 17:29:47 |
| php81-pecl-uuid-1.3.0-r0.apk | 6.7 KiB | 2025-05-14 17:29:52 |
| php84-pecl-phpy-1.0.11-r1.apk | 43.4 KiB | 2025-05-14 17:29:52 |
| php83-pecl-phpy-1.0.11-r1.apk | 43.3 KiB | 2025-05-14 17:29:52 |
| piglit-0_git20241106-r1.apk | 92.8 MiB | 2025-05-14 17:30:00 |
| pixiewps-doc-1.4.2-r2.apk | 3.1 KiB | 2025-05-14 17:30:01 |
| pixiewps-1.4.2-r2.apk | 55.7 KiB | 2025-05-14 17:30:01 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 1.7 KiB | 2025-05-14 17:30:07 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3.5 MiB | 2025-05-14 17:30:07 |
| ptylie-doc-0.2-r2.apk | 2.9 KiB | 2025-05-14 17:30:08 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17.0 KiB | 2025-05-14 17:30:08 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 448.4 KiB | 2025-05-14 17:30:08 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.6 KiB | 2025-05-14 17:30:08 |
| ptylie-0.2-r2.apk | 11.9 KiB | 2025-05-14 17:30:08 |
| py3-googletrans-3.0.0-r5.apk | 14.9 KiB | 2025-05-14 17:30:08 |
| py3-pyte-0.8.2-r3.apk | 29.6 KiB | 2025-05-14 17:30:09 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.6 KiB | 2025-05-14 17:30:09 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.3 KiB | 2025-05-14 17:30:09 |
| py3-pyte-pyc-0.8.2-r3.apk | 38.9 KiB | 2025-05-14 17:30:09 |
| qperf-0.4.11-r2.apk | 32.3 KiB | 2025-05-14 17:30:10 |
| qperf-doc-0.4.11-r2.apk | 5.3 KiB | 2025-05-14 17:30:10 |
| readosm-1.1.0-r3.apk | 15.4 KiB | 2025-05-14 17:30:11 |
| readosm-dev-1.1.0-r3.apk | 20.7 KiB | 2025-05-14 17:30:11 |
| river-shifttags-0.2.1-r1.apk | 6.4 KiB | 2025-05-14 17:30:13 |
| river-shifttags-doc-0.2.1-r1.apk | 2.1 KiB | 2025-05-14 17:30:13 |
| suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-05-14 17:30:28 |
| castero-0.9.5-r4.apk | 50.2 KiB | 2025-05-14 18:20:50 |
| castero-pyc-0.9.5-r4.apk | 93.7 KiB | 2025-05-14 18:20:50 |
| downloader-cli-0.3.4-r2.apk | 1.7 KiB | 2025-05-14 18:20:50 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 32.3 KiB | 2025-05-14 18:20:52 |
| py3-ffmpeg-0.2.0-r5.apk | 23.4 KiB | 2025-05-14 18:20:52 |
| xkb-switch-doc-1.8.5-r1.apk | 1.9 KiB | 2025-05-14 18:20:52 |
| py3-dogpile.cache-1.3.3-r1.apk | 52.3 KiB | 2025-05-14 18:20:52 |
| py3-grequests-pyc-0.7.0-r3.apk | 5.6 KiB | 2025-05-14 18:20:52 |
| py3-itunespy-pyc-1.6-r5.apk | 14.3 KiB | 2025-05-14 18:20:52 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 77.8 KiB | 2025-05-14 18:20:52 |
| ytmdl-2024.08.15.1-r1.apk | 49.9 KiB | 2025-05-14 18:20:52 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.2 KiB | 2025-05-14 18:20:52 |
| py3-downloader-cli-0.3.4-r2.apk | 10.9 KiB | 2025-05-14 18:20:52 |
| xcape-doc-1.2-r1.apk | 2.8 KiB | 2025-05-14 18:20:52 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 95.0 KiB | 2025-05-14 18:20:52 |
| xcape-1.2-r1.apk | 7.0 KiB | 2025-05-14 18:20:52 |
| py3-youtube-search-1.6.6-r5.apk | 77.8 KiB | 2025-05-14 18:20:52 |
| py3-pysrt-1.1.2-r5.apk | 25.2 KiB | 2025-05-14 18:20:52 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 13.9 KiB | 2025-05-14 18:20:52 |
| py3-simber-pyc-0.2.6-r5.apk | 15.9 KiB | 2025-05-14 18:20:52 |
| xkb-switch-1.8.5-r1.apk | 20.6 KiB | 2025-05-14 18:20:52 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.0 KiB | 2025-05-14 18:20:52 |
| py3-itunespy-1.6-r5.apk | 9.5 KiB | 2025-05-14 18:20:52 |
| py3-pysrt-pyc-1.1.2-r5.apk | 22.5 KiB | 2025-05-14 18:20:52 |
| py3-grequests-0.7.0-r3.apk | 6.5 KiB | 2025-05-14 18:20:52 |
| py3-simber-0.2.6-r5.apk | 11.6 KiB | 2025-05-14 18:20:52 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 1.9 KiB | 2025-05-14 18:20:52 |
| py3-cjkwrap-2.2-r6.apk | 4.3 KiB | 2025-05-14 19:18:54 |
| py3-cjkwrap-pyc-2.2-r6.apk | 5.0 KiB | 2025-05-14 19:18:54 |
| py3-confluent-kafka-2.9.0-r0.apk | 186.9 KiB | 2025-05-15 09:44:43 |
| py3-confluent-kafka-pyc-2.9.0-r0.apk | 226.6 KiB | 2025-05-15 09:44:43 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6.2 KiB | 2025-05-15 21:23:15 |
| py3-python-jwt-4.1.0-r2.apk | 7.8 KiB | 2025-05-15 21:23:15 |
| felix-2.16.1-r0.apk | 711.4 KiB | 2025-05-16 11:14:56 |
| mdbook-linkcheck-0.7.7-r0.apk | 2.8 MiB | 2025-05-16 19:17:43 |
| mdbook-katex-0.9.4-r0.apk | 1.2 MiB | 2025-05-17 21:53:22 |
| perl-net-netmask-doc-2.0003-r0.apk | 8.3 KiB | 2025-05-18 04:10:39 |
| perl-net-netmask-2.0003-r0.apk | 13.3 KiB | 2025-05-18 04:10:39 |
| httplz-doc-2.2.0-r0.apk | 2.0 KiB | 2025-05-18 15:39:52 |
| httplz-2.2.0-r0.apk | 1.3 MiB | 2025-05-18 15:39:52 |
| diskus-0.8.0-r0.apk | 363.8 KiB | 2025-05-18 22:16:11 |
| parse-changelog-0.6.12-r0.apk | 556.0 KiB | 2025-05-18 22:19:38 |
| afew-doc-3.0.1-r0.apk | 12.1 KiB | 2025-05-18 22:33:33 |
| afew-3.0.1-r0.apk | 72.9 KiB | 2025-05-18 22:33:33 |
| trippy-zsh-completion-0.13.0-r0.apk | 4.6 KiB | 2025-05-18 23:21:57 |
| trippy-bash-completion-0.13.0-r0.apk | 2.9 KiB | 2025-05-18 23:21:57 |
| trippy-0.13.0-r0.apk | 2.2 MiB | 2025-05-18 23:21:57 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 11.6 KiB | 2025-05-19 10:23:40 |
| river-luatile-0.1.4-r0.apk | 267.8 KiB | 2025-05-19 12:46:33 |
| php83-pecl-excimer-1.2.5-r0.apk | 21.2 KiB | 2025-05-20 18:27:37 |
| php82-pecl-excimer-1.2.5-r0.apk | 21.2 KiB | 2025-05-20 18:27:37 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.2 KiB | 2025-05-22 06:59:59 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 319.0 KiB | 2025-05-22 06:59:59 |
| drogon-doc-1.9.4-r2.apk | 2.0 KiB | 2025-05-22 06:59:59 |
| drogon-dev-1.9.4-r2.apk | 121.1 KiB | 2025-05-22 06:59:59 |
| drogon-1.9.4-r2.apk | 1.6 MiB | 2025-05-22 06:59:59 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 219.4 KiB | 2025-05-22 06:59:59 |
| ripasso-cursive-0.7.0-r0.apk | 3.2 MiB | 2025-05-23 08:56:30 |
| nb-bash-completion-7.19.1-r0.apk | 2.7 KiB | 2025-05-24 22:12:05 |
| nb-full-7.19.1-r0.apk | 1.0 KiB | 2025-05-24 22:12:05 |
| nb-fish-completion-7.19.1-r0.apk | 2.5 KiB | 2025-05-24 22:12:05 |
| nb-doc-7.19.1-r0.apk | 77.2 KiB | 2025-05-24 22:12:05 |
| nb-7.19.1-r0.apk | 151.9 KiB | 2025-05-24 22:12:05 |
| nb-zsh-completion-7.19.1-r0.apk | 2.7 KiB | 2025-05-24 22:12:05 |
| elementary-dock-8.0.2-r0.apk | 91.1 KiB | 2025-05-24 23:30:40 |
| elementary-dock-lang-8.0.2-r0.apk | 27.3 KiB | 2025-05-24 23:30:40 |
| guetzli-0_git20191025-r2.apk | 183.2 KiB | 2025-05-25 07:56:13 |
| endlessh-1.1-r1.apk | 9.5 KiB | 2025-05-25 07:56:13 |
| guetzli-dev-0_git20191025-r2.apk | 2.4 MiB | 2025-05-25 07:56:13 |
| endlessh-doc-1.1-r1.apk | 2.4 KiB | 2025-05-25 07:56:13 |
| tinyemu-2019.12.21-r0.apk | 179.9 KiB | 2025-05-25 23:37:04 |
| obnc-0.17.2-r0.apk | 148.0 KiB | 2025-05-25 23:39:26 |
| obnc-doc-0.17.2-r0.apk | 33.1 KiB | 2025-05-25 23:39:26 |
| ruby-appraisal-2.5.0-r0.apk | 10.6 KiB | 2025-05-25 23:52:32 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2.0 KiB | 2025-05-25 23:52:32 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14.1 KiB | 2025-05-25 23:55:17 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.0 KiB | 2025-05-25 23:55:17 |
| ruby-coderay-doc-1.1.3-r0.apk | 2.0 KiB | 2025-05-25 23:57:53 |
| ruby-coderay-1.1.3-r0.apk | 88.8 KiB | 2025-05-25 23:57:53 |
| ruby-fast_gettext-3.1.0-r0.apk | 21.9 KiB | 2025-05-25 23:59:07 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2.0 KiB | 2025-05-26 00:00:51 |
| ruby-event_emitter-0.2.6-r0.apk | 2.8 KiB | 2025-05-26 00:00:51 |
| infnoise-openrc-0.3.3-r0.apk | 1.5 KiB | 2025-05-26 17:46:14 |
| infnoise-0.3.3-r0.apk | 15.1 KiB | 2025-05-26 17:46:14 |
| infnoise-doc-0.3.3-r0.apk | 3.9 KiB | 2025-05-26 17:46:14 |
| libinfnoise-0.3.3-r0.apk | 13.8 KiB | 2025-05-26 17:46:15 |
| touchpad-emulator-0.3-r0.apk | 13.4 KiB | 2025-05-26 17:46:20 |
| hiawatha-letsencrypt-11.6-r1.apk | 17.0 KiB | 2025-05-27 11:11:43 |
| hiawatha-openrc-11.6-r1.apk | 1.4 KiB | 2025-05-27 11:11:43 |
| hiawatha-11.6-r1.apk | 226.6 KiB | 2025-05-27 11:11:43 |
| hiawatha-doc-11.6-r1.apk | 20.8 KiB | 2025-05-27 11:11:43 |
| font-cascadia-2407.24-r1.apk | 1.0 KiB | 2025-05-27 14:37:27 |
| font-cascadia-mono-2407.24-r1.apk | 506.6 KiB | 2025-05-27 14:37:27 |
| font-cascadia-code-2407.24-r1.apk | 525.9 KiB | 2025-05-27 14:37:27 |
| baikal-sqlite-0.10.1-r1.apk | 1.2 KiB | 2025-05-27 23:25:47 |
| abnfgen-doc-0.21-r0.apk | 4.8 KiB | 2025-05-27 23:25:47 |
| baikal-0.10.1-r1.apk | 1.3 MiB | 2025-05-27 23:25:47 |
| abnfgen-0.21-r0.apk | 18.6 KiB | 2025-05-27 23:25:47 |
| baikal-mysql-0.10.1-r1.apk | 1.0 KiB | 2025-05-27 23:25:47 |
| baikal-pgsql-0.10.1-r1.apk | 1.0 KiB | 2025-05-27 23:25:47 |
| terminology-lang-1.14.0-r0.apk | 142.7 KiB | 2025-05-27 23:25:49 |
| terminology-doc-1.14.0-r0.apk | 8.7 KiB | 2025-05-27 23:25:49 |
| terminology-1.14.0-r0.apk | 2.7 MiB | 2025-05-27 23:25:49 |
| ouch-bash-completion-0.6.1-r0.apk | 2.2 KiB | 2025-05-28 07:40:18 |
| ouch-zsh-completion-0.6.1-r0.apk | 2.9 KiB | 2025-05-28 07:40:18 |
| ouch-doc-0.6.1-r0.apk | 3.6 KiB | 2025-05-28 07:40:18 |
| ouch-fish-completion-0.6.1-r0.apk | 2.8 KiB | 2025-05-28 07:40:18 |
| ouch-0.6.1-r0.apk | 1.7 MiB | 2025-05-28 07:40:18 |
| paged-markdown-3-pdf-0.1.3-r0.apk | 386.5 KiB | 2025-05-28 14:24:24 |
| paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1.5 KiB | 2025-05-28 14:24:24 |
| paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1.6 KiB | 2025-05-28 14:24:24 |
| paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1.6 KiB | 2025-05-28 14:24:24 |
| botan2-2.19.5-r0.apk | 450.4 KiB | 2025-05-28 21:38:12 |
| botan2-doc-2.19.5-r0.apk | 306.0 KiB | 2025-05-28 21:38:12 |
| botan2-dev-2.19.5-r0.apk | 311.1 KiB | 2025-05-28 21:38:12 |
| botan2-libs-2.19.5-r0.apk | 2.9 MiB | 2025-05-28 21:38:13 |
| py3-mbedtls-2.10.1-r3.apk | 946.7 KiB | 2025-05-29 12:09:35 |
| jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-05-29 12:09:35 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 26.7 KiB | 2025-05-29 12:09:35 |
| nmap-parse-output-1.5.1-r1.apk | 20.2 KiB | 2025-05-29 12:09:35 |
| nmap-parse-output-doc-1.5.1-r1.apk | 807.4 KiB | 2025-05-29 12:09:35 |
| py3-minidump-pyc-0.0.24-r1.apk | 128.8 KiB | 2025-05-29 12:09:35 |
| py3-minidump-0.0.24-r1.apk | 63.3 KiB | 2025-05-29 12:09:35 |
| masky-0.2.0-r2.apk | 276.6 KiB | 2025-05-29 12:09:35 |
| py3-winacl-pyc-0.1.9-r1.apk | 131.0 KiB | 2025-05-29 12:09:35 |
| py3-winacl-0.1.9-r1.apk | 82.4 KiB | 2025-05-29 12:09:35 |
| masky-pyc-0.2.0-r2.apk | 63.4 KiB | 2025-05-29 12:09:35 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 1.7 KiB | 2025-05-29 12:09:35 |
| kerberoast-pyc-0.2.0-r2.apk | 14.8 KiB | 2025-05-29 12:09:35 |
| jackdaw-pyc-0.3.1-r2.apk | 363.9 KiB | 2025-05-29 12:09:35 |
| kerberoast-0.2.0-r2.apk | 9.1 KiB | 2025-05-29 12:09:35 |
| toss-1.1-r1.apk | 13.7 KiB | 2025-05-29 12:09:36 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2.6 KiB | 2025-06-01 15:45:12 |
| 66-tools-dev-0.1.2.0-r0.apk | 1.7 KiB | 2025-06-01 15:45:12 |
| 66-tools-dbg-0.1.2.0-r0.apk | 106.1 KiB | 2025-06-01 15:45:12 |
| 66-tools-doc-0.1.2.0-r0.apk | 39.1 KiB | 2025-06-01 15:45:12 |
| 66-tools-0.1.2.0-r0.apk | 71.1 KiB | 2025-06-01 15:45:12 |
| oblibs-dbg-0.3.4.0-r0.apk | 91.5 KiB | 2025-06-01 15:45:19 |
| oblibs-dev-0.3.4.0-r0.apk | 237.2 KiB | 2025-06-01 15:45:19 |
| oblibs-0.3.4.0-r0.apk | 35.1 KiB | 2025-06-01 15:45:19 |
| 66-dbg-0.8.2.1-r0.apk | 738.4 KiB | 2025-06-05 23:37:41 |
| 66-dev-0.8.2.1-r0.apk | 1.7 MiB | 2025-06-05 23:37:41 |
| 66-0.8.2.1-r0.apk | 317.4 KiB | 2025-06-05 23:37:41 |
| 66-doc-0.8.2.1-r0.apk | 190.1 KiB | 2025-06-05 23:37:41 |
| 66-init-0.8.2.1-r0.apk | 1.3 KiB | 2025-06-05 23:37:41 |
| perl-class-unload-0.11-r0.apk | 2.3 KiB | 2025-06-05 23:38:23 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 4.9 KiB | 2025-06-05 23:38:23 |
| perl-class-unload-doc-0.11-r0.apk | 2.8 KiB | 2025-06-05 23:38:23 |
| perl-moosex-markasmethods-0.15-r0.apk | 4.8 KiB | 2025-06-05 23:38:23 |
| perl-lingua-stem-fr-0.02-r0.apk | 5.9 KiB | 2025-06-06 14:57:49 |
| perl-lingua-stem-it-0.02-r0.apk | 5.0 KiB | 2025-06-06 14:57:49 |
| perl-lingua-stem-ru-0.04-r0.apk | 3.9 KiB | 2025-06-06 14:57:49 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3.3 KiB | 2025-06-06 14:57:49 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3.5 KiB | 2025-06-06 14:57:49 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 3.7 KiB | 2025-06-06 14:57:49 |
| perl-text-german-0.06-r0.apk | 12.8 KiB | 2025-06-07 02:00:44 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.0 KiB | 2025-06-07 02:00:44 |
| perl-text-german-doc-0.06-r0.apk | 2.8 KiB | 2025-06-07 02:00:44 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5.2 KiB | 2025-06-07 02:00:44 |
| perl-shell-guess-doc-0.10-r0.apk | 5.5 KiB | 2025-06-07 14:55:42 |
| perl-html-formatexternal-doc-26-r0.apk | 22.7 KiB | 2025-06-07 14:55:42 |
| perl-shell-guess-0.10-r0.apk | 5.7 KiB | 2025-06-07 14:55:42 |
| perl-html-formatexternal-26-r0.apk | 16.8 KiB | 2025-06-07 14:55:42 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.3 KiB | 2025-06-07 14:56:20 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4.3 KiB | 2025-06-07 14:56:20 |
| klong-20221212-r0.apk | 332.2 KiB | 2025-06-07 15:17:17 |
| ldc-stage0-1.41.0-r0.apk | 11.0 MiB | 2025-06-07 17:13:22 |
| faust-2.79.3-r0.apk | 7.9 MiB | 2025-06-07 18:47:09 |
| faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-06-07 18:47:09 |
| faust-static-2.79.3-r0.apk | 562.6 KiB | 2025-06-07 18:47:10 |
| faust-tools-2.79.3-r0.apk | 123.1 KiB | 2025-06-07 18:47:10 |
| faust-vim-2.79.3-r0.apk | 2.3 KiB | 2025-06-07 18:47:10 |
| faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-06-07 18:47:10 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3.6 KiB | 2025-06-08 16:43:11 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6.6 KiB | 2025-06-08 16:43:11 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3.6 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4.2 KiB | 2025-06-08 16:43:11 |
| perl-snowball-norwegian-1.2-r0.apk | 5.0 KiB | 2025-06-08 16:43:11 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3.6 KiB | 2025-06-08 16:43:11 |
| perl-alien-base-modulebuild-1.17-r0.apk | 21.5 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.2 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-tagger-0.31-r0.apk | 545.5 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 2.8 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3.0 KiB | 2025-06-08 16:43:11 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.2 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.2 KiB | 2025-06-08 16:43:11 |
| perl-snowball-swedish-1.2-r0.apk | 5.0 KiB | 2025-06-08 16:43:11 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 2.8 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 2.9 KiB | 2025-06-08 16:43:11 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4.1 KiB | 2025-06-08 16:43:11 |
| perl-module-path-doc-0.19-r0.apk | 5.5 KiB | 2025-06-08 16:43:11 |
| perl-lingua-stem-doc-2.31-r0.apk | 33.3 KiB | 2025-06-08 16:43:11 |
| perl-shell-config-generate-0.34-r0.apk | 7.6 KiB | 2025-06-08 16:43:11 |
| perl-lingua-stem-2.31-r0.apk | 12.1 KiB | 2025-06-08 16:43:11 |
| perl-memoize-expirelru-0.56-r0.apk | 6.0 KiB | 2025-06-08 16:43:11 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.3 KiB | 2025-06-08 16:43:11 |
| perl-module-path-0.19-r0.apk | 4.5 KiB | 2025-06-08 16:43:11 |
| youtube-viewer-gtk-3.11.6-r0.apk | 171.3 KiB | 2025-06-09 05:05:52 |
| youtube-viewer-doc-3.11.6-r0.apk | 40.4 KiB | 2025-06-09 05:05:52 |
| youtube-viewer-3.11.6-r0.apk | 83.6 KiB | 2025-06-09 05:05:52 |
| raspberrypi-usbboot-20250227-r0.apk | 886.8 KiB | 2025-06-09 08:55:51 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 2.9 KiB | 2025-06-09 12:06:23 |
| perl-path-dispatcher-doc-1.08-r0.apk | 37.5 KiB | 2025-06-09 12:06:23 |
| perl-path-dispatcher-1.08-r0.apk | 14.0 KiB | 2025-06-09 12:06:23 |
| perl-moox-typetiny-0.002003-r0.apk | 3.3 KiB | 2025-06-09 12:06:23 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5.3 KiB | 2025-06-09 12:07:14 |
| perl-string-toidentifier-en-0.12-r0.apk | 5.2 KiB | 2025-06-09 12:07:14 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5.1 KiB | 2025-06-09 12:07:14 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3.6 KiB | 2025-06-09 12:07:14 |
| perl-text-worddiff-doc-0.09-r0.apk | 13.4 KiB | 2025-06-09 16:26:37 |
| perl-text-worddiff-0.09-r0.apk | 10.2 KiB | 2025-06-09 16:26:37 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3.0 KiB | 2025-06-09 16:28:24 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 77.1 KiB | 2025-06-09 16:28:24 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 97.1 KiB | 2025-06-09 16:28:24 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 2.8 KiB | 2025-06-09 16:28:24 |
| ktx-libs-4.3.2-r1.apk | 1.6 MiB | 2025-06-10 02:50:31 |
| ktx-dev-4.3.2-r1.apk | 28.7 KiB | 2025-06-10 02:50:31 |
| ktx-4.3.2-r1.apk | 1.4 MiB | 2025-06-10 02:50:31 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18.0 KiB | 2025-06-10 09:39:48 |
| py3-libpyshell-0.4.1-r1.apk | 11.3 KiB | 2025-06-10 09:39:48 |
| megazeux-2.93d-r0.apk | 1.6 MiB | 2025-06-10 13:47:46 |
| megazeux-doc-2.93d-r0.apk | 465.0 KiB | 2025-06-10 13:47:46 |
| py3-svglib-pyc-1.5.1-r0.apk | 42.2 KiB | 2025-06-10 18:56:20 |
| py3-svglib-doc-1.5.1-r0.apk | 1.8 KiB | 2025-06-10 18:56:20 |
| py3-svglib-1.5.1-r0.apk | 29.6 KiB | 2025-06-10 18:56:20 |
| perl-asa-doc-1.04-r0.apk | 4.7 KiB | 2025-06-11 16:35:24 |
| perl-asa-1.04-r0.apk | 4.1 KiB | 2025-06-11 16:35:24 |
| perl-io-handle-util-0.02-r0.apk | 10.4 KiB | 2025-06-11 16:35:24 |
| perl-io-handle-util-doc-0.02-r0.apk | 9.6 KiB | 2025-06-11 16:35:24 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 17.6 KiB | 2025-06-11 16:36:27 |
| perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk | 13.1 KiB | 2025-06-11 16:36:27 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 18.9 KiB | 2025-06-11 16:36:27 |
| perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk | 13.6 KiB | 2025-06-11 16:36:27 |
| w_scan2-1.0.17-r0.apk | 133.6 KiB | 2025-06-11 17:46:45 |
| w_scan2-doc-1.0.17-r0.apk | 3.9 KiB | 2025-06-11 17:46:45 |
| clementine-1.4.1_git20250503-r0.apk | 6.4 MiB | 2025-06-12 14:19:42 |
| py3-arcus-5.3.0-r5.apk | 94.3 KiB | 2025-06-12 14:19:46 |
| pulsar-client-cpp-3.7.1-r0.apk | 1.5 MiB | 2025-06-12 14:19:46 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 64.2 KiB | 2025-06-12 14:19:46 |
| turn-rs-openrc-3.4.0-r1.apk | 1.7 KiB | 2025-06-12 14:19:47 |
| turn-rs-3.4.0-r1.apk | 622.1 KiB | 2025-06-12 14:19:47 |
| turn-rs-doc-3.4.0-r1.apk | 10.6 KiB | 2025-06-12 14:19:47 |
| tsung-1.8.0-r3.apk | 721.5 KiB | 2025-06-13 05:51:54 |
| antimicrox-3.5.1-r0.apk | 1.6 MiB | 2025-06-13 13:54:20 |
| antimicrox-doc-3.5.1-r0.apk | 23.6 KiB | 2025-06-13 13:54:20 |
| logc-config-0.5.0-r1.apk | 5.2 KiB | 2025-06-13 14:15:11 |
| sentinel-proxy-2.1.0-r1.apk | 45.0 KiB | 2025-06-13 14:15:11 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2.0 KiB | 2025-06-13 14:15:11 |
| sentinel-proxy-dev-2.1.0-r1.apk | 4.3 KiB | 2025-06-13 14:15:11 |
| logc-argp-0.5.0-r1.apk | 17.1 KiB | 2025-06-13 14:15:11 |
| logc-0.5.0-r1.apk | 8.7 KiB | 2025-06-13 14:15:11 |
| logc-dev-0.5.0-r1.apk | 8.3 KiB | 2025-06-13 14:15:11 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 40.0 KiB | 2025-06-13 18:18:03 |
| perl-web-machine-0.17-r0.apk | 20.0 KiB | 2025-06-13 18:18:03 |
| perl-web-machine-doc-0.17-r0.apk | 28.4 KiB | 2025-06-13 18:18:03 |
| perl-http-headers-actionpack-0.09-r0.apk | 17.7 KiB | 2025-06-13 18:18:03 |
| perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk | 4.3 KiB | 2025-06-13 18:18:56 |
| perl-catalyst-plugin-session-store-delegate-0.06-r0.apk | 4.2 KiB | 2025-06-13 18:18:56 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0.apk | 5.6 KiB | 2025-06-13 18:18:56 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk | 6.2 KiB | 2025-06-13 18:18:56 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3.6 KiB | 2025-06-14 02:47:19 |
| perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk | 3.8 KiB | 2025-06-14 02:47:19 |
| perl-catalyst-plugin-session-store-file-0.18-r0.apk | 3.4 KiB | 2025-06-14 02:47:19 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4.4 KiB | 2025-06-14 02:47:19 |
| elementary-settings-daemon-8.3.0-r0.apk | 84.9 KiB | 2025-06-15 03:44:48 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.5 KiB | 2025-06-15 03:44:48 |
| lavacli-2.4-r0.apk | 49.1 KiB | 2025-06-15 03:44:48 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 73.8 KiB | 2025-06-15 03:44:48 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 49.6 KiB | 2025-06-15 03:44:48 |
| py3-recurring-ical-events-3.8.0-r0.apk | 38.6 KiB | 2025-06-15 03:44:48 |
| lavacli-pyc-2.4-r0.apk | 87.9 KiB | 2025-06-15 03:44:48 |
| lavacli-doc-2.4-r0.apk | 33.9 KiB | 2025-06-15 03:44:48 |
| rt5-5.0.8-r0.apk | 16.9 MiB | 2025-06-15 04:45:24 |
| perl-task-catalyst-doc-4.02-r0.apk | 3.4 KiB | 2025-06-15 04:51:53 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3.3 KiB | 2025-06-15 04:51:53 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4.3 KiB | 2025-06-15 04:51:53 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3.1 KiB | 2025-06-15 04:51:53 |
| perl-catalystx-profile-0.02-r0.apk | 2.8 KiB | 2025-06-15 04:51:53 |
| perl-task-catalyst-4.02-r0.apk | 2.7 KiB | 2025-06-15 04:51:53 |
| perl-html-query-doc-0.09-r0.apk | 9.8 KiB | 2025-06-16 11:51:27 |
| perl-css-inliner-4027-r0.apk | 15.6 KiB | 2025-06-16 11:51:27 |
| perl-html-query-0.09-r0.apk | 13.6 KiB | 2025-06-16 11:51:27 |
| perl-css-inliner-doc-4027-r0.apk | 9.2 KiB | 2025-06-16 11:51:27 |
| mpv-sponsorblock-2.2.0-r0.apk | 1.4 MiB | 2025-06-16 15:17:01 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2.3 KiB | 2025-06-16 18:04:42 |
| py3-modern_colorthief-0.1.7-r0.apk | 807.4 KiB | 2025-06-16 18:04:42 |
| kontainer-1.0.1-r0.apk | 194.6 KiB | 2025-06-16 22:23:27 |
| mdbook-admonish-1.20.0-r0.apk | 1.1 MiB | 2025-06-16 22:23:27 |
| lychee-0.19.1-r0.apk | 3.0 MiB | 2025-06-17 08:02:54 |
| lychee-doc-0.19.1-r0.apk | 12.7 KiB | 2025-06-17 08:02:54 |
| dbus-broker-doc-37-r0.apk | 5.6 KiB | 2025-06-17 10:18:32 |
| dbus-broker-37-r0.apk | 84.8 KiB | 2025-06-17 10:18:32 |
| battery-limit-openrc-1-r0.apk | 1.6 KiB | 2025-06-17 10:19:08 |
| today-6.2.1-r0.apk | 2.9 KiB | 2025-06-17 15:29:35 |
| today-doc-6.2.1-r0.apk | 3.0 KiB | 2025-06-17 15:29:35 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 5.9 KiB | 2025-06-17 22:20:11 |
| py3-jaraco.logging-3.4.0-r0.apk | 5.1 KiB | 2025-06-17 22:20:11 |
| tree-sitter-hcl-1.2.0-r0.apk | 22.4 KiB | 2025-06-19 00:22:27 |
| xemu-0.8.74-r0.apk | 4.4 MiB | 2025-06-19 00:22:27 |
| lizardfs-3.13.0-r17.apk | 115.2 KiB | 2025-06-19 08:53:29 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7.1 KiB | 2025-06-19 08:53:29 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1.6 KiB | 2025-06-19 08:53:29 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-06-19 08:53:29 |
| lizardfs-cgi-3.13.0-r17.apk | 31.2 KiB | 2025-06-19 08:53:29 |
| lizardfs-chunkserver-3.13.0-r17.apk | 311.7 KiB | 2025-06-19 08:53:29 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 1.7 KiB | 2025-06-19 08:53:29 |
| bindfs-doc-1.17.7-r1.apk | 8.8 KiB | 2025-06-19 08:53:29 |
| bindfs-1.17.7-r1.apk | 21.9 KiB | 2025-06-19 08:53:29 |
| lizardfs-doc-3.13.0-r17.apk | 11.2 KiB | 2025-06-19 08:53:30 |
| lizardfs-client-3.13.0-r17.apk | 1.1 MiB | 2025-06-19 08:53:30 |
| moosefs-cgi-4.56.6-r2.apk | 120.9 KiB | 2025-06-19 08:53:31 |
| lizardfs-metalogger-3.13.0-r17.apk | 128.6 KiB | 2025-06-19 08:53:31 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-06-19 08:53:31 |
| moosefs-doc-4.56.6-r2.apk | 94.9 KiB | 2025-06-19 08:53:31 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-06-19 08:53:31 |
| moosefs-cgiserv-4.56.6-r2.apk | 7.6 KiB | 2025-06-19 08:53:31 |
| moosefs-client-4.56.6-r2.apk | 629.4 KiB | 2025-06-19 08:53:31 |
| moosefs-4.56.6-r2.apk | 259.3 KiB | 2025-06-19 08:53:31 |
| lizardfs-master-3.13.0-r17.apk | 845.5 KiB | 2025-06-19 08:53:31 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-06-19 08:53:31 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1.4 KiB | 2025-06-19 08:53:31 |
| moosefs-chunkserver-4.56.6-r2.apk | 181.3 KiB | 2025-06-19 08:53:31 |
| moosefs-master-4.56.6-r2.apk | 367.4 KiB | 2025-06-19 08:53:32 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-06-19 08:53:32 |
| tup-0.7.11-r1.apk | 241.8 KiB | 2025-06-19 08:53:32 |
| moosefs-master-openrc-4.56.6-r2.apk | 1.4 KiB | 2025-06-19 08:53:32 |
| moosefs-metalogger-4.56.6-r2.apk | 41.1 KiB | 2025-06-19 08:53:32 |
| tup-doc-0.7.11-r1.apk | 20.6 KiB | 2025-06-19 08:53:32 |
| tup-vim-0.7.11-r1.apk | 2.3 KiB | 2025-06-19 08:53:32 |
| moosefs-static-4.56.6-r2.apk | 700.3 KiB | 2025-06-19 08:53:32 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9.2 KiB | 2025-06-19 10:55:37 |
| perl-catalyst-component-instancepercontext-0.001001-r1.apk | 2.5 KiB | 2025-06-19 10:55:37 |
| perl-moosex-nonmoose-0.27-r1.apk | 7.9 KiB | 2025-06-19 10:55:37 |
| perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk | 3.0 KiB | 2025-06-19 10:55:37 |
| flatseal-lang-2.3.1-r0.apk | 78.7 KiB | 2025-06-19 15:30:40 |
| flatseal-doc-2.3.1-r0.apk | 8.2 KiB | 2025-06-19 15:30:40 |
| flatseal-2.3.1-r0.apk | 42.6 KiB | 2025-06-19 15:30:40 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3.1 KiB | 2025-06-20 15:19:32 |
| perl-hash-merge-extra-0.06-r0.apk | 2.9 KiB | 2025-06-20 15:19:32 |
| pihole-6.2.3-r0.apk | 5.8 MiB | 2025-06-20 18:06:00 |
| pihole-openrc-6.2.3-r0.apk | 1.6 KiB | 2025-06-20 18:06:00 |
| pihole-doc-6.2.3-r0.apk | 3.5 KiB | 2025-06-20 18:06:00 |
| pihole-bash-completion-6.2.3-r0.apk | 1.9 KiB | 2025-06-20 18:06:00 |
| pdal-python-plugins-1.6.5-r0.apk | 247.2 KiB | 2025-06-21 21:30:41 |
| py3-pdal-3.4.5-r1.apk | 181.8 KiB | 2025-06-21 21:30:41 |
| py3-pdal-pyc-3.4.5-r1.apk | 12.8 KiB | 2025-06-21 21:30:41 |
| openrdap-0.9.1-r0.apk | 3.2 MiB | 2025-06-24 15:50:59 |
| openrdap-doc-0.9.1-r0.apk | 2.0 KiB | 2025-06-24 15:50:59 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16.2 KiB | 2025-06-24 19:38:49 |
| py3-simpleeval-1.0.3-r0.apk | 15.4 KiB | 2025-06-24 19:38:49 |
| android-file-transfer-4.5-r0.apk | 199.6 KiB | 2025-06-24 23:03:21 |
| android-file-transfer-dev-4.5-r0.apk | 1.3 KiB | 2025-06-24 23:03:21 |
| android-file-transfer-cli-4.5-r0.apk | 115.6 KiB | 2025-06-24 23:03:21 |
| android-file-transfer-libs-4.5-r0.apk | 133.8 KiB | 2025-06-24 23:03:21 |
| macchina-6.4.0-r0.apk | 1.0 MiB | 2025-06-25 03:45:54 |
| macchina-doc-6.4.0-r0.apk | 5.4 KiB | 2025-06-25 03:45:54 |
| srain-lang-1.8.1-r0.apk | 34.8 KiB | 2025-06-26 18:43:22 |
| srain-1.8.1-r0.apk | 163.1 KiB | 2025-06-26 18:43:22 |
| py3-senf-1.5.0-r0.apk | 19.9 KiB | 2025-06-27 02:59:13 |
| py3-senf-pyc-1.5.0-r0.apk | 32.2 KiB | 2025-06-27 02:59:13 |
| mm-common-1.0.7-r0.apk | 413.9 KiB | 2025-06-27 16:40:13 |
| mm-common-doc-1.0.7-r0.apk | 33.2 KiB | 2025-06-27 16:40:13 |
| xcompmgr-doc-1.1.10-r0.apk | 2.3 KiB | 2025-06-28 11:26:45 |
| xcompmgr-1.1.10-r0.apk | 15.3 KiB | 2025-06-28 11:26:45 |
| py3-tokenizers-0.21.2-r0.apk | 1.7 MiB | 2025-06-29 22:43:08 |
| py3-tokenizers-pyc-0.21.2-r0.apk | 28.6 KiB | 2025-06-29 22:43:08 |
| perl-dns-unbound-ioasync-0.29-r2.apk | 2.2 KiB | 2025-06-30 09:24:06 |
| perl-flowd-doc-0.9.1-r11.apk | 3.0 KiB | 2025-06-30 09:24:06 |
| perl-flowd-0.9.1-r11.apk | 20.5 KiB | 2025-06-30 09:24:06 |
| perl-dns-unbound-anyevent-0.29-r2.apk | 2.1 KiB | 2025-06-30 09:24:06 |
| perl-crypt-blowfish-2.14-r1.apk | 12.8 KiB | 2025-06-30 09:24:06 |
| flowd-dev-0.9.1-r11.apk | 7.8 KiB | 2025-06-30 09:24:06 |
| perl-bsd-resource-1.2911-r11.apk | 20.5 KiB | 2025-06-30 09:24:06 |
| perl-ffi-platypus-doc-2.10-r1.apk | 147.7 KiB | 2025-06-30 09:24:06 |
| perl-digest-crc-doc-0.24-r2.apk | 3.0 KiB | 2025-06-30 09:24:06 |
| perl-b-hooks-op-check-0.22-r1.apk | 6.8 KiB | 2025-06-30 09:24:06 |
| perl-guard-doc-1.023-r10.apk | 5.1 KiB | 2025-06-30 09:24:06 |
| perl-encode-detect-doc-1.01-r1.apk | 4.6 KiB | 2025-06-30 09:24:06 |
| perl-git-raw-doc-0.90-r4.apk | 119.0 KiB | 2025-06-30 09:24:06 |
| perl-devel-refcount-0.10-r2.apk | 6.2 KiB | 2025-06-30 09:24:06 |
| perl-bareword-filehandles-0.007-r1.apk | 6.1 KiB | 2025-06-30 09:24:06 |
| perl-devel-leak-0.03-r14.apk | 7.2 KiB | 2025-06-30 09:24:06 |
| openwsman-dev-2.8.1-r1.apk | 55.4 KiB | 2025-06-30 09:24:06 |
| perl-alien-libgumbo-doc-0.05-r1.apk | 4.6 KiB | 2025-06-30 09:24:06 |
| perl-html-gumbo-0.18-r2.apk | 15.6 KiB | 2025-06-30 09:24:06 |
| perl-file-mmagic-xs-0.09008-r5.apk | 31.7 KiB | 2025-06-30 09:24:06 |
| perl-ev-hiredis-0.07-r3.apk | 13.0 KiB | 2025-06-30 09:24:06 |
| flowd-doc-0.9.1-r11.apk | 9.8 KiB | 2025-06-30 09:24:06 |
| perl-dns-unbound-0.29-r2.apk | 23.4 KiB | 2025-06-30 09:24:06 |
| perl-encode-detect-1.01-r1.apk | 74.7 KiB | 2025-06-30 09:24:06 |
| perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3.1 KiB | 2025-06-30 09:24:06 |
| perl-gtk2-doc-1.24993-r7.apk | 678.3 KiB | 2025-06-30 09:24:06 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 3.8 KiB | 2025-06-30 09:24:06 |
| perl-ffi-platypus-2.10-r1.apk | 179.0 KiB | 2025-06-30 09:24:06 |
| perl-future-asyncawait-hooks-0.02-r1.apk | 8.6 KiB | 2025-06-30 09:24:06 |
| perl-dns-unbound-mojo-0.29-r2.apk | 2.4 KiB | 2025-06-30 09:24:06 |
| flowd-openrc-0.9.1-r11.apk | 1.6 KiB | 2025-06-30 09:24:06 |
| perl-digest-crc-0.24-r2.apk | 9.6 KiB | 2025-06-30 09:24:06 |
| perl-b-utils-doc-0.27-r1.apk | 9.3 KiB | 2025-06-30 09:24:06 |
| perl-b-hooks-op-check-doc-0.22-r1.apk | 3.5 KiB | 2025-06-30 09:24:06 |
| perl-barcode-zbar-0.10-r4.apk | 28.6 KiB | 2025-06-30 09:24:06 |
| perl-b-utils-0.27-r1.apk | 19.7 KiB | 2025-06-30 09:24:06 |
| flowd-0.9.1-r11.apk | 74.2 KiB | 2025-06-30 09:24:06 |
| perl-devel-nytprof-doc-6.14-r1.apk | 50.3 KiB | 2025-06-30 09:24:06 |
| perl-gtk2-1.24993-r7.apk | 883.9 KiB | 2025-06-30 09:24:06 |
| perl-autobox-doc-3.0.2-r1.apk | 8.6 KiB | 2025-06-30 09:24:06 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4.0 KiB | 2025-06-30 09:24:06 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17.0 KiB | 2025-06-30 09:24:06 |
| perl-bsd-resource-doc-1.2911-r11.apk | 7.7 KiB | 2025-06-30 09:24:06 |
| dnssec-tools-doc-2.2.3-r13.apk | 316.8 KiB | 2025-06-30 09:24:06 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 80.0 KiB | 2025-06-30 09:24:06 |
| perl-data-dump-streamer-2.42-r1.apk | 50.4 KiB | 2025-06-30 09:24:06 |
| openwsman-libs-2.8.1-r1.apk | 330.0 KiB | 2025-06-30 09:24:06 |
| perl-autobox-3.0.2-r1.apk | 19.6 KiB | 2025-06-30 09:24:06 |
| perl-conf-libconfig-1.0.3-r2.apk | 24.1 KiB | 2025-06-30 09:24:06 |
| perl-alien-libgumbo-0.05-r1.apk | 577.4 KiB | 2025-06-30 09:24:06 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12.4 KiB | 2025-06-30 09:24:06 |
| perl-html-gumbo-doc-0.18-r2.apk | 5.2 KiB | 2025-06-30 09:24:06 |
| openwsman-2.8.1-r1.apk | 48.7 KiB | 2025-06-30 09:24:06 |
| dnssec-tools-dev-2.2.3-r13.apk | 192.5 KiB | 2025-06-30 09:24:06 |
| perl-check-unitcheck-0.13-r2.apk | 6.2 KiB | 2025-06-30 09:24:06 |
| perl-git-raw-0.90-r4.apk | 175.7 KiB | 2025-06-30 09:24:06 |
| hw-probe-1.6.6-r2.apk | 123.6 KiB | 2025-06-30 09:24:06 |
| perl-devel-leak-doc-0.03-r14.apk | 3.1 KiB | 2025-06-30 09:24:06 |
| perl-data-clone-doc-0.006-r1.apk | 4.3 KiB | 2025-06-30 09:24:06 |
| perl-check-unitcheck-doc-0.13-r2.apk | 3.4 KiB | 2025-06-30 09:24:06 |
| perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1.7 KiB | 2025-06-30 09:24:06 |
| perl-data-clone-0.006-r1.apk | 10.1 KiB | 2025-06-30 09:24:06 |
| apache2-mod-perl-2.0.13-r2.apk | 785.1 KiB | 2025-06-30 09:24:06 |
| perl-dns-unbound-doc-0.29-r2.apk | 15.1 KiB | 2025-06-30 09:24:06 |
| perl-algorithm-permute-doc-0.17-r1.apk | 4.9 KiB | 2025-06-30 09:24:06 |
| perl-guard-1.023-r10.apk | 8.7 KiB | 2025-06-30 09:24:06 |
| perl-algorithm-permute-0.17-r1.apk | 12.9 KiB | 2025-06-30 09:24:06 |
| perl-bareword-filehandles-doc-0.007-r1.apk | 3.0 KiB | 2025-06-30 09:24:06 |
| dnssec-tools-2.2.3-r13.apk | 771.8 KiB | 2025-06-30 09:24:06 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 37.7 KiB | 2025-06-30 09:24:06 |
| perl-devel-nytprof-6.14-r1.apk | 400.1 KiB | 2025-06-30 09:24:06 |
| perl-ev-hiredis-doc-0.07-r3.apk | 4.0 KiB | 2025-06-30 09:24:06 |
| openwsman-doc-2.8.1-r1.apk | 2.1 KiB | 2025-06-30 09:24:06 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 303.7 KiB | 2025-06-30 09:24:06 |
| perl-devel-refcount-doc-0.10-r2.apk | 4.0 KiB | 2025-06-30 09:24:06 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5.2 KiB | 2025-06-30 09:24:06 |
| perl-signature-attribute-checked-doc-0.06-r1.apk | 4.4 KiB | 2025-06-30 09:24:07 |
| perl-object-pad-fieldattr-checked-0.12-r1.apk | 8.7 KiB | 2025-06-30 09:24:07 |
| perl-syntax-operator-equ-0.10-r1.apk | 8.2 KiB | 2025-06-30 09:24:07 |
| perl-promise-xs-0.20-r2.apk | 25.9 KiB | 2025-06-30 09:24:07 |
| spvm-math-doc-1.006-r1.apk | 6.7 KiB | 2025-06-30 09:24:07 |
| spvm-mime-base64-1.003-r1.apk | 18.2 KiB | 2025-06-30 09:24:07 |
| perl-list-keywords-doc-0.11-r1.apk | 5.3 KiB | 2025-06-30 09:24:07 |
| spvm-math-1.006-r1.apk | 21.1 KiB | 2025-06-30 09:24:07 |
| perl-list-binarysearch-xs-doc-0.09-r2.apk | 7.9 KiB | 2025-06-30 09:24:07 |
| perl-string-compare-constanttime-0.321-r7.apk | 7.5 KiB | 2025-06-30 09:24:07 |
| perl-promise-xs-doc-0.20-r2.apk | 8.5 KiB | 2025-06-30 09:24:07 |
| silc-client-doc-1.1.11-r18.apk | 81.9 KiB | 2025-06-30 09:24:07 |
| perl-libapreq2-2.17-r3.apk | 112.6 KiB | 2025-06-30 09:24:07 |
| perl-pango-1.227-r12.apk | 80.5 KiB | 2025-06-30 09:24:07 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5.1 KiB | 2025-06-30 09:24:07 |
| perl-math-random-isaac-xs-doc-1.004-r9.apk | 3.6 KiB | 2025-06-30 09:24:07 |
| perl-term-size-doc-0.211-r5.apk | 3.5 KiB | 2025-06-30 09:24:07 |
| perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4.3 KiB | 2025-06-30 09:24:07 |
| spvm-thread-0.003-r1.apk | 15.1 KiB | 2025-06-30 09:24:07 |
| perl-linux-pid-0.04-r15.apk | 4.8 KiB | 2025-06-30 09:24:07 |
| perl-imager-1.028-r1.apk | 565.8 KiB | 2025-06-30 09:24:07 |
| perl-libapreq2-doc-2.17-r3.apk | 37.0 KiB | 2025-06-30 09:24:07 |
| perl-syntax-keyword-match-0.15-r1.apk | 14.2 KiB | 2025-06-30 09:24:07 |
| perl-syntax-operator-in-0.10-r1.apk | 9.8 KiB | 2025-06-30 09:24:07 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6.3 KiB | 2025-06-30 09:24:07 |
| perl-libapreq2-dev-2.17-r3.apk | 59.2 KiB | 2025-06-30 09:24:07 |
| perl-perlio-locale-0.10-r13.apk | 4.6 KiB | 2025-06-30 09:24:07 |
| perl-snmp-doc-5.0404-r14.apk | 13.8 KiB | 2025-06-30 09:24:07 |
| perl-math-libm-1.00-r15.apk | 11.1 KiB | 2025-06-30 09:24:07 |
| perl-math-int64-doc-0.57-r2.apk | 10.3 KiB | 2025-06-30 09:24:07 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 7.6 KiB | 2025-06-30 09:24:07 |
| perl-net-async-redis-xs-1.001-r2.apk | 9.7 KiB | 2025-06-30 09:24:07 |
| perl-term-size-0.211-r5.apk | 5.8 KiB | 2025-06-30 09:24:07 |
| silc-client-1.1.11-r18.apk | 918.2 KiB | 2025-06-30 09:24:07 |
| perl-xml-bare-doc-0.53-r14.apk | 11.2 KiB | 2025-06-30 09:24:07 |
| spvm-thread-doc-0.003-r1.apk | 5.7 KiB | 2025-06-30 09:24:07 |
| perl-time-timegm-doc-0.01-r10.apk | 3.6 KiB | 2025-06-30 09:24:07 |
| perl-pango-doc-1.227-r12.apk | 82.1 KiB | 2025-06-30 09:24:07 |
| pptpclient-doc-1.10.0-r6.apk | 6.9 KiB | 2025-06-30 09:24:07 |
| perl-ppi-xs-0.910-r2.apk | 5.9 KiB | 2025-06-30 09:24:07 |
| perl-time-timegm-0.01-r10.apk | 6.7 KiB | 2025-06-30 09:24:07 |
| perl-net-curl-doc-0.57-r1.apk | 39.1 KiB | 2025-06-30 09:24:07 |
| perl-multidimensional-0.014-r1.apk | 5.0 KiB | 2025-06-30 09:24:07 |
| perl-math-int64-0.57-r2.apk | 28.6 KiB | 2025-06-30 09:24:07 |
| perl-xml-bare-0.53-r14.apk | 29.3 KiB | 2025-06-30 09:24:07 |
| perl-ref-util-xs-0.117-r9.apk | 10.6 KiB | 2025-06-30 09:24:07 |
| perl-string-compare-constanttime-doc-0.321-r7.apk | 5.0 KiB | 2025-06-30 09:24:07 |
| perl-string-crc32-doc-2.100-r5.apk | 3.2 KiB | 2025-06-30 09:24:07 |
| perl-syntax-operator-in-doc-0.10-r1.apk | 5.6 KiB | 2025-06-30 09:24:07 |
| perl-string-crc32-2.100-r5.apk | 7.2 KiB | 2025-06-30 09:24:07 |
| perl-linux-pid-doc-0.04-r15.apk | 2.8 KiB | 2025-06-30 09:24:07 |
| perl-indirect-doc-0.39-r2.apk | 6.1 KiB | 2025-06-30 09:24:07 |
| perl-imager-doc-1.028-r1.apk | 286.2 KiB | 2025-06-30 09:24:07 |
| perl-net-idn-encode-2.500-r2.apk | 84.2 KiB | 2025-06-30 09:24:07 |
| perl-net-curl-0.57-r1.apk | 64.3 KiB | 2025-06-30 09:24:07 |
| perl-list-binarysearch-xs-0.09-r2.apk | 12.3 KiB | 2025-06-30 09:24:07 |
| perl-signature-attribute-checked-0.06-r1.apk | 8.0 KiB | 2025-06-30 09:24:07 |
| spvm-errno-doc-0.093-r1.apk | 5.7 KiB | 2025-06-30 09:24:07 |
| perl-musicbrainz-discid-0.06-r2.apk | 9.1 KiB | 2025-06-30 09:24:07 |
| perl-scalar-readonly-0.03-r2.apk | 5.4 KiB | 2025-06-30 09:24:07 |
| spvm-errno-0.093-r1.apk | 17.6 KiB | 2025-06-30 09:24:07 |
| perl-scalar-readonly-doc-0.03-r2.apk | 3.2 KiB | 2025-06-30 09:24:07 |
| perl-indirect-0.39-r2.apk | 16.5 KiB | 2025-06-30 09:24:07 |
| perl-math-random-isaac-xs-1.004-r9.apk | 8.1 KiB | 2025-06-30 09:24:07 |
| perl-ref-util-xs-doc-0.117-r9.apk | 3.2 KiB | 2025-06-30 09:24:07 |
| perl-multidimensional-doc-0.014-r1.apk | 2.9 KiB | 2025-06-30 09:24:07 |
| perl-snmp-5.0404-r14.apk | 74.9 KiB | 2025-06-30 09:24:07 |
| spvm-mime-base64-doc-1.003-r1.apk | 5.2 KiB | 2025-06-30 09:24:07 |
| perl-net-idn-encode-doc-2.500-r2.apk | 21.6 KiB | 2025-06-30 09:24:07 |
| perl-perlio-locale-doc-0.10-r13.apk | 2.9 KiB | 2025-06-30 09:24:07 |
| perl-ppi-xs-doc-0.910-r2.apk | 3.2 KiB | 2025-06-30 09:24:07 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4.1 KiB | 2025-06-30 09:24:07 |
| perl-math-libm-doc-1.00-r15.apk | 3.0 KiB | 2025-06-30 09:24:07 |
| pptpclient-1.10.0-r6.apk | 32.7 KiB | 2025-06-30 09:24:07 |
| perl-list-keywords-0.11-r1.apk | 15.8 KiB | 2025-06-30 09:24:07 |
| video-trimmer-25.03-r0.apk | 395.1 KiB | 2025-06-30 13:48:32 |
| video-trimmer-lang-25.03-r0.apk | 92.3 KiB | 2025-06-30 13:48:32 |
| kdiskmark-3.2.0-r0.apk | 172.4 KiB | 2025-06-30 16:46:32 |
| kdiskmark-lang-3.2.0-r0.apk | 31.6 KiB | 2025-06-30 16:46:32 |
| rizin-0.8.1-r0.apk | 2.7 MiB | 2025-06-30 16:51:10 |
| rizin-dev-0.8.1-r0.apk | 322.3 KiB | 2025-06-30 16:51:10 |
| rizin-doc-0.8.1-r0.apk | 19.0 KiB | 2025-06-30 16:51:10 |
| rizin-libs-0.8.1-r0.apk | 5.7 MiB | 2025-06-30 16:51:11 |
| netscanner-doc-0.5.1-r1.apk | 3.0 KiB | 2025-07-01 18:42:23 |
| netscanner-0.5.1-r1.apk | 3.7 MiB | 2025-07-01 18:42:23 |
| sudo-ldap-1.9.17_p1-r0.apk | 745.1 KiB | 2025-07-01 18:58:12 |
| notification-daemon-3.20.0-r1.apk | 61.3 KiB | 2025-07-01 23:20:05 |
| dmenu-wl-0.1-r0.apk | 18.7 KiB | 2025-07-02 10:33:13 |
| dmenu-wl-doc-0.1-r0.apk | 3.8 KiB | 2025-07-02 10:33:13 |
| cups-pdf-3.0.2-r0.apk | 21.6 KiB | 2025-07-04 21:12:05 |
| deviced-openrc-0_git20250427-r0.apk | 1.4 KiB | 2025-07-05 20:04:21 |
| deviced-0_git20250427-r0.apk | 129.6 KiB | 2025-07-05 20:04:21 |
| deviced-dev-0_git20250427-r0.apk | 25.8 KiB | 2025-07-05 20:04:21 |
| curtail-1.13.0-r0.apk | 30.1 KiB | 2025-07-05 20:40:23 |
| curtail-lang-1.13.0-r0.apk | 77.3 KiB | 2025-07-05 20:40:23 |
| pinentry-bemenu-0.14.0-r1.apk | 8.7 KiB | 2025-07-06 00:22:48 |
| darts-clone-dev-0.32h-r0.apk | 12.9 KiB | 2025-07-06 07:10:30 |
| darts-clone-0.32h-r0.apk | 43.3 KiB | 2025-07-06 07:10:30 |
| py3-chameleon-4.6.0-r0.apk | 96.9 KiB | 2025-07-06 09:21:33 |
| py3-chameleon-pyc-4.6.0-r0.apk | 130.4 KiB | 2025-07-06 09:21:33 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 24.7 KiB | 2025-07-06 19:18:26 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4.5 KiB | 2025-07-06 19:18:26 |
| perl-devel-trace-0.12-r0.apk | 3.1 KiB | 2025-07-06 19:18:26 |
| varnish-modules-0.26.0-r0.apk | 55.8 KiB | 2025-07-06 19:18:26 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2.0 KiB | 2025-07-06 19:18:26 |
| ruby-ansi-1.5.0-r0.apk | 25.6 KiB | 2025-07-06 19:18:26 |
| perl-devel-trace-doc-0.12-r0.apk | 3.2 KiB | 2025-07-06 19:18:26 |
| varnish-modules-doc-0.26.0-r0.apk | 21.3 KiB | 2025-07-06 19:18:26 |
| ruby-minitest-reporters-1.7.1-r0.apk | 19.8 KiB | 2025-07-06 19:18:26 |
| py3-dateparser-1.2.2-r0.apk | 216.0 KiB | 2025-07-06 19:47:49 |
| py3-dateparser-pyc-1.2.2-r0.apk | 334.9 KiB | 2025-07-06 19:47:49 |
| py3-schema-0.7.7-r0.apk | 19.2 KiB | 2025-07-07 16:16:23 |
| py3-schema-pyc-0.7.7-r0.apk | 20.3 KiB | 2025-07-07 16:16:23 |
| perl-smart-comments-1.06-r0.apk | 12.0 KiB | 2025-07-07 17:02:49 |
| perl-smart-comments-doc-1.06-r0.apk | 8.3 KiB | 2025-07-07 17:02:49 |
| perl-data-section-doc-0.200008-r0.apk | 5.4 KiB | 2025-07-07 17:03:26 |
| perl-data-section-0.200008-r0.apk | 6.2 KiB | 2025-07-07 17:03:26 |
| catfish-lang-4.20.1-r0.apk | 166.4 KiB | 2025-07-07 19:29:54 |
| catfish-doc-4.20.1-r0.apk | 13.0 KiB | 2025-07-07 19:29:54 |
| catfish-4.20.1-r0.apk | 127.5 KiB | 2025-07-07 19:29:54 |
| catfish-pyc-4.20.1-r0.apk | 103.8 KiB | 2025-07-07 19:29:54 |
| py3-xsdata-pyc-25.7-r0.apk | 392.4 KiB | 2025-07-07 20:48:26 |
| py3-xsdata-25.7-r0.apk | 189.4 KiB | 2025-07-07 20:48:26 |
| py3-svgpath-pyc-7.0-r0.apk | 23.6 KiB | 2025-07-08 06:16:59 |
| py3-svgpath-7.0-r0.apk | 18.3 KiB | 2025-07-08 06:16:59 |
| perl-software-license-doc-0.104007-r0.apk | 50.2 KiB | 2025-07-08 16:54:18 |
| perl-software-license-0.104007-r0.apk | 106.4 KiB | 2025-07-08 16:54:18 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 10.8 KiB | 2025-07-08 16:55:07 |
| perl-date-range-doc-1.41-r0.apk | 3.8 KiB | 2025-07-08 16:55:07 |
| perl-xml-xpathengine-0.14-r0.apk | 21.4 KiB | 2025-07-08 16:55:07 |
| perl-date-range-1.41-r0.apk | 3.5 KiB | 2025-07-08 16:55:07 |
| mdp-doc-1.0.18-r0.apk | 3.6 KiB | 2025-07-09 21:13:38 |
| mdp-1.0.18-r0.apk | 17.4 KiB | 2025-07-09 21:13:38 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4.0 KiB | 2025-07-09 21:13:50 |
| perl-array-diff-doc-0.09-r0.apk | 3.6 KiB | 2025-07-09 21:13:50 |
| perl-array-diff-0.09-r0.apk | 3.1 KiB | 2025-07-09 21:13:50 |
| perl-data-binary-doc-0.01-r0.apk | 2.8 KiB | 2025-07-09 21:13:50 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7.5 KiB | 2025-07-09 21:13:50 |
| perl-data-binary-0.01-r0.apk | 2.5 KiB | 2025-07-09 21:13:50 |
| materia-compact-chromium-20210322-r3.apk | 5.4 KiB | 2025-07-10 16:38:56 |
| materia-gtk3-20210322-r3.apk | 62.8 KiB | 2025-07-10 16:38:56 |
| materia-20210322-r3.apk | 1.4 KiB | 2025-07-10 16:38:56 |
| materia-gtk-theme-20210322-r3.apk | 2.6 KiB | 2025-07-10 16:38:56 |
| materia-gtk2-20210322-r3.apk | 34.3 KiB | 2025-07-10 16:38:56 |
| materia-dark-chromium-20210322-r3.apk | 5.4 KiB | 2025-07-10 16:38:56 |
| materia-dark-gtk3-20210322-r3.apk | 39.3 KiB | 2025-07-10 16:38:56 |
| materia-compact-gtk2-20210322-r3.apk | 34.3 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-gtk4-20210322-r3.apk | 29.1 KiB | 2025-07-10 16:38:56 |
| materia-compact-gtk3-20210322-r3.apk | 62.6 KiB | 2025-07-10 16:38:56 |
| materia-dark-gtk2-20210322-r3.apk | 34.2 KiB | 2025-07-10 16:38:56 |
| materia-dark-gtk4-20210322-r3.apk | 29.1 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-chromium-20210322-r3.apk | 5.5 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-20210322-r3.apk | 1.4 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-gtk2-20210322-r3.apk | 34.3 KiB | 2025-07-10 16:38:56 |
| materia-dark-20210322-r3.apk | 1.4 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-gtk3-20210322-r3.apk | 39.3 KiB | 2025-07-10 16:38:56 |
| materia-compact-gtk4-20210322-r3.apk | 42.3 KiB | 2025-07-10 16:38:56 |
| materia-dark-compact-gnome-shell-20210322-r3.apk | 29.0 KiB | 2025-07-10 16:38:56 |
| materia-gnome-shell-20210322-r3.apk | 28.9 KiB | 2025-07-10 16:38:56 |
| materia-gtk4-20210322-r3.apk | 42.4 KiB | 2025-07-10 16:38:56 |
| materia-dark-gnome-shell-20210322-r3.apk | 28.9 KiB | 2025-07-10 16:38:56 |
| materia-chromium-20210322-r3.apk | 5.4 KiB | 2025-07-10 16:38:56 |
| materia-compact-gnome-shell-20210322-r3.apk | 29.0 KiB | 2025-07-10 16:38:56 |
| materia-compact-20210322-r3.apk | 1.4 KiB | 2025-07-10 16:38:56 |
| simpleble-0.10.3-r0.apk | 994 B | 2025-07-11 02:59:22 |
| simpleble-dev-0.10.3-r0.apk | 27.7 KiB | 2025-07-11 02:59:22 |
| libsimplebluez-0.10.3-r0.apk | 142.2 KiB | 2025-07-11 02:59:22 |
| libsimpleble-c-0.10.3-r0.apk | 16.3 KiB | 2025-07-11 02:59:22 |
| libsimpleble-0.10.3-r0.apk | 198.3 KiB | 2025-07-11 02:59:22 |
| minigalaxy-1.4.0-r0.apk | 197.0 KiB | 2025-07-11 03:37:15 |
| minigalaxy-pyc-1.4.0-r0.apk | 134.3 KiB | 2025-07-11 03:37:15 |
| zycore-dev-1.5.0-r1.apk | 37.1 KiB | 2025-07-11 04:51:03 |
| zycore-1.5.0-r1.apk | 21.7 KiB | 2025-07-11 04:51:03 |
| zycore-doc-1.5.0-r1.apk | 432.4 KiB | 2025-07-11 04:51:03 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4.1 KiB | 2025-07-11 08:48:13 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3.4 KiB | 2025-07-11 08:48:13 |
| perl-archive-any-lite-0.11-r0.apk | 3.9 KiB | 2025-07-11 08:48:13 |
| perl-file-treecreate-0.0.1-r0.apk | 3.8 KiB | 2025-07-11 08:48:13 |
| perl-parse-distname-doc-0.05-r0.apk | 4.1 KiB | 2025-07-11 08:48:13 |
| perl-parse-distname-0.05-r0.apk | 5.2 KiB | 2025-07-11 08:48:13 |
| perl-file-find-object-0.3.9-r0.apk | 8.9 KiB | 2025-07-11 16:50:37 |
| perl-file-find-object-doc-0.3.9-r0.apk | 12.9 KiB | 2025-07-11 16:50:37 |
| perl-web-scraper-0.38-r0.apk | 7.3 KiB | 2025-07-11 16:51:31 |
| perl-test-perl-critic-1.04-r0.apk | 6.6 KiB | 2025-07-11 16:51:31 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6.2 KiB | 2025-07-11 16:51:31 |
| perl-web-scraper-doc-0.38-r0.apk | 7.8 KiB | 2025-07-11 16:51:31 |
| font-terminus-ttf-4.49.3-r0.apk | 537.6 KiB | 2025-07-11 19:54:34 |
| perl-regexp-trie-0.02-r0.apk | 2.7 KiB | 2025-07-12 07:22:45 |
| perl-regexp-trie-doc-0.02-r0.apk | 3.0 KiB | 2025-07-12 07:22:45 |
| perl-module-cpants-analyse-1.02-r0.apk | 26.3 KiB | 2025-07-12 13:45:39 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 40.8 KiB | 2025-07-12 13:45:39 |
| perl-test-kwalitee-1.28-r0.apk | 6.1 KiB | 2025-07-12 13:45:39 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28.0 KiB | 2025-07-12 13:45:39 |
| perl-test-kwalitee-doc-1.28-r0.apk | 6.6 KiB | 2025-07-12 13:45:39 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 48.8 KiB | 2025-07-12 13:45:39 |
| py3-pcbnewtransition-0.5.2-r0.apk | 7.6 KiB | 2025-07-12 15:21:21 |
| py3-pcbnewtransition-pyc-0.5.2-r0.apk | 9.6 KiB | 2025-07-12 15:21:21 |
| py3-pathvalidate-3.3.1-r0.apk | 18.8 KiB | 2025-07-12 15:21:59 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 33.3 KiB | 2025-07-12 15:21:59 |
| py3-flask-accept-0.0.7-r0.apk | 5.3 KiB | 2025-07-12 15:31:37 |
| py3-enzyme-0.5.2-r0.apk | 22.5 KiB | 2025-07-12 15:31:37 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3.4 KiB | 2025-07-12 15:31:37 |
| py3-enzyme-pyc-0.5.2-r0.apk | 18.5 KiB | 2025-07-12 15:31:37 |
| piper-tts-2023.11.14.2-r14.apk | 130.0 KiB | 2025-07-12 16:34:50 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 394.0 KiB | 2025-07-12 16:34:50 |
| piper-tts-dev-2023.11.14.2-r14.apk | 140.8 KiB | 2025-07-12 16:34:50 |
| piper-phonemize-2023.11.14.4-r9.apk | 9.0 MiB | 2025-07-12 16:34:50 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 70.1 KiB | 2025-07-12 16:34:50 |
| py3-piper-tts-2023.11.14.2-r14.apk | 40.6 KiB | 2025-07-12 16:34:51 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 144.5 KiB | 2025-07-12 16:34:51 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 16.9 KiB | 2025-07-12 16:34:51 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11.1 KiB | 2025-07-12 16:34:51 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3.1 KiB | 2025-07-12 16:34:51 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 90.5 KiB | 2025-07-12 16:35:33 |
| py3-tidalapi-0.8.4-r0.apk | 49.9 KiB | 2025-07-12 16:35:33 |
| py3-ly-pyc-0.9.9-r0.apk | 351.7 KiB | 2025-07-12 21:56:58 |
| py3-ly-0.9.9-r0.apk | 186.3 KiB | 2025-07-12 21:56:58 |
| py3-ly-doc-0.9.9-r0.apk | 7.8 KiB | 2025-07-12 21:56:58 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27.0 KiB | 2025-07-13 21:33:54 |
| py3-evohome-client-0.3.9-r0.apk | 18.6 KiB | 2025-07-13 21:33:54 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 12.9 KiB | 2025-07-13 21:34:46 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 18.7 KiB | 2025-07-13 21:34:46 |
| py3-bookkeeper-4.17.2-r0.apk | 42.8 KiB | 2025-07-13 21:42:09 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 66.4 KiB | 2025-07-13 21:42:10 |
| py3-furl-pyc-2.1.4-r0.apk | 32.2 KiB | 2025-07-13 21:53:11 |
| py3-furl-2.1.4-r0.apk | 27.3 KiB | 2025-07-13 21:53:11 |
| py3-flask-migrate-4.1.0-r0.apk | 13.1 KiB | 2025-07-13 21:54:36 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18.2 KiB | 2025-07-13 21:54:36 |
| py3-tls_parser-2.0.2-r0.apk | 10.0 KiB | 2025-07-14 03:37:03 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 16.9 KiB | 2025-07-14 03:37:03 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 16.4 KiB | 2025-07-14 05:59:50 |
| perl-sql-abstract-more-1.44-r0.apk | 27.3 KiB | 2025-07-14 05:59:50 |
| wsmancli-2.8.0-r0.apk | 19.9 KiB | 2025-07-14 09:41:58 |
| wsmancli-doc-2.8.0-r0.apk | 3.4 KiB | 2025-07-14 09:41:58 |
| isomd5sum-doc-1.2.5-r0.apk | 2.7 KiB | 2025-07-15 08:02:56 |
| isomd5sum-1.2.5-r0.apk | 32.4 KiB | 2025-07-15 08:02:56 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 98.0 KiB | 2025-07-15 08:29:07 |
| py3-drf-yasg-1.21.10-r0.apk | 4.0 MiB | 2025-07-15 08:29:07 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 9.8 KiB | 2025-07-15 17:10:16 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 10.7 KiB | 2025-07-15 17:10:16 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 34.5 KiB | 2025-07-15 18:37:50 |
| py3-ovos-config-2.1.1-r0.apk | 47.0 KiB | 2025-07-15 18:37:50 |
| ovos-phal-pyc-0.2.10-r0.apk | 7.0 KiB | 2025-07-15 19:31:09 |
| ovos-phal-0.2.10-r0.apk | 10.2 KiB | 2025-07-15 19:31:09 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 165.2 KiB | 2025-07-15 19:31:10 |
| py3-ovos-workshop-7.0.6-r0.apk | 91.9 KiB | 2025-07-15 19:31:10 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 166.6 KiB | 2025-07-15 19:35:08 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 86.2 KiB | 2025-07-15 19:35:08 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk | 4.8 KiB | 2025-07-15 20:05:48 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 7.9 KiB | 2025-07-15 20:05:48 |
| py3-ovos-utils-0.8.1-r0.apk | 72.3 KiB | 2025-07-15 20:05:48 |
| ovos-gui-pyc-1.3.3-r0.apk | 38.2 KiB | 2025-07-15 20:05:48 |
| ovos-audio-1.0.1-r0.apk | 136.0 KiB | 2025-07-15 20:05:48 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3.6 KiB | 2025-07-15 20:05:48 |
| ovos-audio-pyc-1.0.1-r0.apk | 35.6 KiB | 2025-07-15 20:05:48 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8.6 KiB | 2025-07-15 20:05:48 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk | 8.5 KiB | 2025-07-15 20:05:48 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8.6 KiB | 2025-07-15 20:05:48 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 130.0 KiB | 2025-07-15 20:05:48 |
| ovos-gui-1.3.3-r0.apk | 37.6 KiB | 2025-07-15 20:05:48 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 10.8 KiB | 2025-07-15 20:05:48 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4.5 KiB | 2025-07-15 20:05:48 |
| pyinfra-3.3.1-r0.apk | 191.7 KiB | 2025-07-16 00:27:52 |
| pyinfra-pyc-3.3.1-r0.apk | 360.8 KiB | 2025-07-16 00:27:52 |
| tremc-doc-0.9.4-r0.apk | 2.6 KiB | 2025-07-16 04:17:29 |
| tremc-0.9.4-r0.apk | 52.3 KiB | 2025-07-16 04:17:29 |
| tremc-zsh-completion-0.9.4-r0.apk | 1.6 KiB | 2025-07-16 04:17:29 |
| tremc-bash-completion-0.9.4-r0.apk | 1.6 KiB | 2025-07-16 04:17:29 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 245.9 KiB | 2025-07-18 07:53:29 |
| i3status-rust-doc-0.34.0-r0.apk | 32.9 KiB | 2025-07-19 05:43:35 |
| i3status-rust-0.34.0-r0.apk | 4.4 MiB | 2025-07-19 05:43:35 |
| perl-nice-try-1.3.17-r0.apk | 27.6 KiB | 2025-07-19 17:52:38 |
| perl-nice-try-doc-1.3.17-r0.apk | 12.2 KiB | 2025-07-19 17:52:38 |
| dislocker-libs-0.7.3-r6.apk | 45.2 KiB | 2025-07-19 22:57:32 |
| dislocker-0.7.3-r6.apk | 16.4 KiB | 2025-07-19 22:57:32 |
| dislocker-doc-0.7.3-r6.apk | 5.8 KiB | 2025-07-19 22:57:32 |
| jaq-doc-2.3.0-r0.apk | 2.0 KiB | 2025-07-20 10:41:56 |
| jaq-2.3.0-r0.apk | 829.9 KiB | 2025-07-20 10:41:56 |
| php82-pecl-vld-0.19.1-r0.apk | 16.0 KiB | 2025-07-20 13:29:31 |
| php83-pecl-vld-0.19.1-r0.apk | 15.9 KiB | 2025-07-20 13:29:31 |
| php84-pecl-vld-0.19.1-r0.apk | 16.2 KiB | 2025-07-20 13:29:31 |
| php83-pecl-eio-3.1.4-r0.apk | 29.3 KiB | 2025-07-20 15:26:56 |
| laze-doc-0.1.38-r0.apk | 3.3 KiB | 2025-07-20 20:27:17 |
| laze-bash-completion-0.1.38-r0.apk | 2.9 KiB | 2025-07-20 20:27:17 |
| laze-fish-completion-0.1.38-r0.apk | 3.2 KiB | 2025-07-20 20:27:17 |
| laze-zsh-completion-0.1.38-r0.apk | 3.4 KiB | 2025-07-20 20:27:17 |
| laze-0.1.38-r0.apk | 1.2 MiB | 2025-07-20 20:27:17 |
| perl-set-infinite-doc-0.65-r0.apk | 11.2 KiB | 2025-07-21 08:48:46 |
| perl-set-infinite-0.65-r0.apk | 22.6 KiB | 2025-07-21 08:48:46 |
| perl-astro-montenbruck-1.26-r0.apk | 53.2 KiB | 2025-07-21 08:48:46 |
| perl-datetime-set-doc-0.3900-r0.apk | 17.6 KiB | 2025-07-21 08:48:46 |
| perl-datetime-astro-1.04-r0.apk | 35.8 KiB | 2025-07-21 08:48:46 |
| perl-astro-pal-doc-1.09-r0.apk | 7.1 KiB | 2025-07-21 08:48:46 |
| perl-datetime-set-0.3900-r0.apk | 19.7 KiB | 2025-07-21 08:48:46 |
| perl-astro-telescope-doc-0.71-r0.apk | 4.7 KiB | 2025-07-21 08:48:46 |
| perl-astro-pal-1.09-r0.apk | 223.6 KiB | 2025-07-21 08:48:46 |
| perl-astro-montenbruck-doc-1.26-r0.apk | 59.4 KiB | 2025-07-21 08:48:46 |
| perl-astro-telescope-0.71-r0.apk | 48.1 KiB | 2025-07-21 08:48:46 |
| perl-astro-doc-0.78-r0.apk | 13.6 KiB | 2025-07-21 08:48:46 |
| perl-datetime-astro-doc-1.04-r0.apk | 5.8 KiB | 2025-07-21 08:48:46 |
| perl-astro-0.78-r0.apk | 31.7 KiB | 2025-07-21 08:48:46 |
| tree-sitter-hare-0_git20230616-r2.apk | 33.1 KiB | 2025-07-22 20:20:37 |
| git-extras-bash-completion-7.4.0-r0.apk | 2.6 KiB | 2025-07-22 20:36:35 |
| git-extras-7.4.0-r0.apk | 56.8 KiB | 2025-07-22 20:36:35 |
| git-extras-doc-7.4.0-r0.apk | 64.9 KiB | 2025-07-22 20:36:35 |
| screenkey-1.5-r7.apk | 76.1 KiB | 2025-07-22 20:46:33 |
| screenkey-doc-1.5-r7.apk | 10.7 KiB | 2025-07-22 20:46:33 |
| screenkey-pyc-1.5-r7.apk | 72.9 KiB | 2025-07-22 20:46:33 |
| libgrapheme-dev-2.0.2-r0.apk | 32.9 KiB | 2025-07-22 20:52:47 |
| libgrapheme-2.0.2-r0.apk | 26.1 KiB | 2025-07-22 20:52:47 |
| libgrapheme-doc-2.0.2-r0.apk | 20.4 KiB | 2025-07-22 20:52:47 |
| ruby-libguestfs-1.56.1-r0.apk | 109.3 KiB | 2025-07-22 22:10:46 |
| libguestfs-doc-1.56.1-r0.apk | 568.3 KiB | 2025-07-22 22:10:46 |
| libguestfs-static-1.56.1-r0.apk | 508.7 KiB | 2025-07-22 22:10:46 |
| guestfs-tools-1.56.1-r0.apk | 287.5 KiB | 2025-07-22 22:10:46 |
| py3-libguestfs-1.56.1-r0.apk | 186.9 KiB | 2025-07-22 22:10:46 |
| lua5.1-libguestfs-1.56.1-r0.apk | 92.1 KiB | 2025-07-22 22:10:46 |
| libguestfs-dev-1.56.1-r0.apk | 29.2 KiB | 2025-07-22 22:10:46 |
| libguestfs-1.56.1-r0.apk | 303.6 KiB | 2025-07-22 22:10:46 |
| otpclient-4.1.1-r0.apk | 123.9 KiB | 2025-07-22 22:45:22 |
| otpclient-doc-4.1.1-r0.apk | 3.4 KiB | 2025-07-22 22:45:22 |
| tree-sitter-clojure-0.0.13-r0.apk | 23.2 KiB | 2025-07-23 12:00:21 |
| rpi-imager-doc-1.9.0-r1.apk | 2.8 KiB | 2025-07-24 08:09:15 |
| rpi-imager-1.9.0-r1.apk | 702.9 KiB | 2025-07-24 08:09:15 |
| perl-template-tiny-1.16-r0.apk | 5.3 KiB | 2025-07-24 12:36:56 |
| perl-template-tiny-doc-1.16-r0.apk | 4.8 KiB | 2025-07-24 12:36:56 |
| cargo-vendor-filterer-0.5.18-r0.apk | 682.8 KiB | 2025-07-24 17:31:14 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 13.0 KiB | 2025-07-25 12:56:53 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 10.1 KiB | 2025-07-25 12:57:32 |
| py3-dunamai-pyc-1.25.0-r0.apk | 43.6 KiB | 2025-07-25 22:24:47 |
| py3-dunamai-1.25.0-r0.apk | 26.6 KiB | 2025-07-25 22:24:47 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20.3 KiB | 2025-07-25 23:42:10 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26.1 KiB | 2025-07-25 23:42:10 |
| fatrace-0.18.0-r0.apk | 10.6 KiB | 2025-07-27 19:30:32 |
| fatrace-doc-0.18.0-r0.apk | 3.1 KiB | 2025-07-27 19:30:32 |
| ruby-facter-4.10.0-r0.apk | 217.8 KiB | 2025-07-28 02:05:02 |
| gnucobol-3.2-r0.apk | 817.2 KiB | 2025-07-28 14:02:49 |
| gnucobol-lang-3.2-r0.apk | 315.8 KiB | 2025-07-28 14:02:49 |
| gnucobol-doc-3.2-r0.apk | 70.5 KiB | 2025-07-28 14:02:49 |
| libcob4-3.2-r0.apk | 211.6 KiB | 2025-07-28 14:02:50 |
| py3-discid-1.3.0-r0.apk | 12.3 KiB | 2025-07-28 21:30:26 |
| py3-discid-pyc-1.3.0-r0.apk | 13.2 KiB | 2025-07-28 21:30:26 |
| emacs-derl-0_git20231004-r1.apk | 23.2 KiB | 2025-07-29 04:36:42 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 4.9 KiB | 2025-07-29 07:57:52 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 8.8 KiB | 2025-07-29 07:57:52 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 7.7 KiB | 2025-07-29 07:57:52 |
| cargo-shuttle-doc-0.56.6-r0.apk | 8.7 KiB | 2025-07-29 07:57:52 |
| cargo-shuttle-0.56.6-r0.apk | 5.1 MiB | 2025-07-29 07:57:52 |
| lynis-3.1.4-r0.apk | 275.4 KiB | 2025-07-29 08:16:28 |
| lynis-bash-completion-3.1.4-r0.apk | 2.7 KiB | 2025-07-29 08:16:28 |
| lynis-doc-3.1.4-r0.apk | 49.9 KiB | 2025-07-29 08:16:28 |
| soundconverter-doc-4.1.1-r0.apk | 4.5 KiB | 2025-07-29 13:13:30 |
| soundconverter-4.1.1-r0.apk | 164.3 KiB | 2025-07-29 13:13:30 |
| soundconverter-lang-4.1.1-r0.apk | 106.6 KiB | 2025-07-29 13:13:30 |
| soundconverter-pyc-4.1.1-r0.apk | 76.4 KiB | 2025-07-29 13:13:30 |
| pneink-theme-doc-1.3-r0.apk | 1.4 KiB | 2025-07-30 00:51:08 |
| pneink-theme-1.3-r0.apk | 9.6 KiB | 2025-07-30 00:51:08 |
| py3-pygelbooru-1.0.0-r0.apk | 20.2 KiB | 2025-07-30 04:28:54 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11.2 KiB | 2025-07-30 04:28:54 |
| kbs2-zsh-completion-0.7.3-r0.apk | 3.8 KiB | 2025-07-30 07:29:31 |
| kbs2-0.7.3-r0.apk | 1.3 MiB | 2025-07-30 07:29:31 |
| kbs2-bash-completion-0.7.3-r0.apk | 3.0 KiB | 2025-07-30 07:29:31 |
| kbs2-fish-completion-0.7.3-r0.apk | 3.2 KiB | 2025-07-30 07:29:31 |
| tabiew-0.11.0-r0.apk | 13.6 MiB | 2025-07-30 08:11:48 |
| megatools-1.11.5.20250706-r0.apk | 63.6 KiB | 2025-07-30 17:06:00 |
| megatools-doc-1.11.5.20250706-r0.apk | 51.9 KiB | 2025-07-30 17:06:00 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 3.8 KiB | 2025-07-30 17:06:00 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 30.1 KiB | 2025-07-30 19:19:16 |
| ldapdomaindump-0.10.0-r0.apk | 18.0 KiB | 2025-07-30 19:19:16 |
| sfwbar-1.0_beta161-r0.apk | 300.3 KiB | 2025-07-31 13:29:27 |
| sfwbar-doc-1.0_beta161-r0.apk | 26.2 KiB | 2025-07-31 13:29:27 |
| telegram-tdlib-1.8.51-r0.apk | 7.8 MiB | 2025-08-02 10:28:29 |
| telegram-tdlib-dev-1.8.51-r0.apk | 188.4 KiB | 2025-08-02 10:28:29 |
| telegram-tdlib-static-1.8.51-r0.apk | 21.3 MiB | 2025-08-02 10:28:31 |
| telegram-bot-api-9.1-r0.apk | 7.8 MiB | 2025-08-02 10:39:19 |
| beancount-language-server-1.4.1-r0.apk | 1.5 MiB | 2025-08-03 10:42:58 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 14.8 KiB | 2025-08-05 12:42:53 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 14.8 KiB | 2025-08-05 12:42:53 |
| py3-pytest-datadir-1.8.0-r0.apk | 6.8 KiB | 2025-08-05 12:49:03 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5.1 KiB | 2025-08-05 12:49:03 |
| xendmail-0.4.4-r0.apk | 959.6 KiB | 2025-08-06 08:57:48 |
| xendmail-doc-0.4.4-r0.apk | 2.3 KiB | 2025-08-06 08:57:48 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10.3 KiB | 2025-08-08 16:55:47 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 8.9 KiB | 2025-08-08 16:55:47 |
| bzmenu-0.2.1-r3.apk | 1.2 MiB | 2025-08-09 12:15:08 |
| gnome-mimeapps-0.1-r1.apk | 3.4 KiB | 2025-08-09 12:15:26 |
| gupnp-av-0.14.4-r1.apk | 81.7 KiB | 2025-08-09 12:15:26 |
| gssdp-dev-1.6.4-r1.apk | 15.3 KiB | 2025-08-09 12:15:26 |
| gupnp-av-dev-0.14.4-r1.apk | 41.3 KiB | 2025-08-09 12:15:26 |
| gupnp-dlna-0.12.0-r1.apk | 72.4 KiB | 2025-08-09 12:15:26 |
| gupnp-dev-1.6.9-r1.apk | 50.1 KiB | 2025-08-09 12:15:26 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24.1 KiB | 2025-08-09 12:15:26 |
| gupnp-1.6.9-r1.apk | 91.1 KiB | 2025-08-09 12:15:26 |
| gupnp-doc-1.6.9-r1.apk | 3.5 KiB | 2025-08-09 12:15:26 |
| gssdp-1.6.4-r1.apk | 48.1 KiB | 2025-08-09 12:15:26 |
| libdbusaccess-1.0.20-r1.apk | 19.8 KiB | 2025-08-09 12:15:30 |
| libdbusaccess-dev-1.0.20-r1.apk | 4.7 KiB | 2025-08-09 12:15:30 |
| logtop-libs-0.7-r1.apk | 16.5 KiB | 2025-08-09 12:15:44 |
| logtop-0.7-r1.apk | 15.4 KiB | 2025-08-09 12:15:44 |
| logtop-doc-0.7-r1.apk | 2.6 KiB | 2025-08-09 12:15:44 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5.4 KiB | 2025-08-09 12:15:45 |
| py3-logtop-pyc-0.7-r1.apk | 3.8 KiB | 2025-08-09 12:15:45 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9.5 KiB | 2025-08-09 12:15:45 |
| py3-gtkspellcheck-5.0.3-r1.apk | 44.5 KiB | 2025-08-09 12:15:45 |
| py3-itemloaders-1.3.2-r1.apk | 12.0 KiB | 2025-08-09 12:15:45 |
| perl-wanted-0.1.0-r0.apk | 20.9 KiB | 2025-08-09 12:15:45 |
| perl-promise-me-0.6.0-r0.apk | 26.9 KiB | 2025-08-09 12:15:45 |
| mirrorhall-0.1.1-r2.apk | 26.0 KiB | 2025-08-09 12:15:45 |
| perl-wanted-doc-0.1.0-r0.apk | 10.5 KiB | 2025-08-09 12:15:45 |
| py3-logtop-0.7-r1.apk | 22.8 KiB | 2025-08-09 12:15:45 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 29.4 KiB | 2025-08-09 12:15:45 |
| perl-promise-me-doc-0.6.0-r0.apk | 12.6 KiB | 2025-08-09 12:15:45 |
| py3-rofi-pyc-1.0.1-r1.apk | 11.5 KiB | 2025-08-09 12:15:45 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16.3 KiB | 2025-08-09 12:15:45 |
| py3-rofi-1.0.1-r1.apk | 11.9 KiB | 2025-08-09 12:15:45 |
| redhat-fonts-4.1.0-r1.apk | 808.7 KiB | 2025-08-09 12:15:46 |
| rss-email-0.5.1-r0.apk | 2.3 MiB | 2025-08-10 11:21:57 |
| rss-email-doc-0.5.1-r0.apk | 6.1 KiB | 2025-08-10 11:21:57 |
| linkchecker-doc-10.6.0-r0.apk | 38.8 KiB | 2025-08-10 11:40:57 |
| linkchecker-pyc-10.6.0-r0.apk | 253.4 KiB | 2025-08-10 11:40:57 |
| linkchecker-10.6.0-r0.apk | 180.8 KiB | 2025-08-10 11:40:57 |
| php81-pecl-ast-1.1.3-r0.apk | 19.2 KiB | 2025-08-10 17:43:40 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 7.7 KiB | 2025-08-10 21:05:22 |
| py3-maidenhead-1.8.0-r0.apk | 7.7 KiB | 2025-08-10 21:05:22 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3.4 KiB | 2025-08-10 21:05:22 |
| font-openmoji-16.0.0-r0.apk | 1.4 MiB | 2025-08-11 06:32:03 |
| cliquer-dev-1.23-r0.apk | 7.2 KiB | 2025-08-12 04:15:55 |
| cliquer-1.23-r0.apk | 7.5 KiB | 2025-08-12 04:15:55 |
| cliquer-libs-1.23-r0.apk | 27.7 KiB | 2025-08-12 04:15:55 |
| cliquer-tests-1.23-r0.apk | 23.7 KiB | 2025-08-12 04:15:55 |
| cliquer-static-1.23-r0.apk | 34.9 KiB | 2025-08-12 04:15:55 |
| rt6-6.0.1-r0.apk | 12.3 MiB | 2025-08-12 08:18:43 |
| wlroots0.17-0.17.4-r3.apk | 385.1 KiB | 2025-08-12 22:42:29 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1.4 MiB | 2025-08-12 22:42:30 |
| wlroots0.17-dev-0.17.4-r3.apk | 77.2 KiB | 2025-08-12 22:42:30 |
| py3-netmiko-pyc-4.6.0-r0.apk | 372.0 KiB | 2025-08-13 15:32:41 |
| py3-netmiko-4.6.0-r0.apk | 191.7 KiB | 2025-08-13 15:32:41 |
| py3-yara-4.5.4-r0.apk | 18.7 KiB | 2025-08-13 15:33:20 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2.0 MiB | 2025-08-13 15:55:12 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 109.8 KiB | 2025-08-13 15:55:12 |
| py3-pyzor-pyc-1.1.2-r0.apk | 53.7 KiB | 2025-08-14 06:27:04 |
| py3-pyzor-1.1.2-r0.apk | 40.1 KiB | 2025-08-14 06:27:04 |
| py3-rtree-pyc-1.4.1-r0.apk | 47.8 KiB | 2025-08-14 10:21:19 |
| py3-rtree-1.4.1-r0.apk | 26.2 KiB | 2025-08-14 10:21:19 |
| netdiscover-doc-0.21-r0.apk | 3.9 KiB | 2025-08-15 12:51:45 |
| netdiscover-0.21-r0.apk | 458.9 KiB | 2025-08-15 12:51:45 |
| perl-cpan-changes-0.500005-r0.apk | 13.1 KiB | 2025-08-16 14:46:03 |
| perl-extutils-makemaker-7.76-r0.apk | 174.0 KiB | 2025-08-16 14:46:03 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18.1 KiB | 2025-08-16 14:46:03 |
| cargo-machete-0.9.1-r0.apk | 1.3 MiB | 2025-08-17 18:49:00 |
| cargo-machete-doc-0.9.1-r0.apk | 4.1 KiB | 2025-08-17 18:49:00 |
| netsed-1.4-r0.apk | 10.2 KiB | 2025-08-18 10:16:13 |
| rocm-core-doc-6.4.3-r0.apk | 2.2 KiB | 2025-08-18 11:32:48 |
| rocm-cmake-6.4.3-r0.apk | 28.2 KiB | 2025-08-18 11:32:48 |
| rocm-core-dev-6.4.3-r0.apk | 6.3 KiB | 2025-08-18 11:32:48 |
| rocm-cmake-doc-6.4.3-r0.apk | 2.2 KiB | 2025-08-18 11:32:48 |
| rocm-core-6.4.3-r0.apk | 7.8 KiB | 2025-08-18 11:32:48 |
| chocolate-doom-3.1.1-r0.apk | 1.7 MiB | 2025-08-19 01:31:12 |
| chocolate-doom-doc-3.1.1-r0.apk | 232.3 KiB | 2025-08-19 01:31:12 |
| lomiri-gallery-app-3.0.2-r2.apk | 3.7 MiB | 2025-08-19 11:02:06 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 106.0 KiB | 2025-08-19 11:02:06 |
| getmail6-doc-6.19.10-r0.apk | 138.2 KiB | 2025-08-19 11:29:34 |
| getmail6-pyc-6.19.10-r0.apk | 103.0 KiB | 2025-08-19 11:29:34 |
| getmail6-6.19.10-r0.apk | 70.1 KiB | 2025-08-19 11:29:34 |
| meep-1.31.0-r1.apk | 660.6 KiB | 2025-08-19 13:15:27 |
| meep-dev-1.31.0-r1.apk | 547.9 KiB | 2025-08-19 13:15:28 |
| numbat-doc-1.16.0-r0.apk | 31.7 KiB | 2025-08-19 13:23:31 |
| numbat-1.16.0-r0.apk | 1.8 MiB | 2025-08-19 13:23:31 |
| lv_font_conv-1.5.3-r0.apk | 1.1 MiB | 2025-08-19 19:54:41 |
| lv_font_conv-doc-1.5.3-r0.apk | 4.7 KiB | 2025-08-19 19:54:41 |
| nrf5-sdk-17.1.0-r0.apk | 47.0 MiB | 2025-08-19 19:54:45 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3.4 KiB | 2025-08-19 19:54:45 |
| lomiri-calculator-app-4.1.0-r0.apk | 381.9 KiB | 2025-08-19 20:13:25 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 41.2 KiB | 2025-08-19 20:13:25 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 179.4 KiB | 2025-08-19 20:13:25 |
| lomiri-filemanager-app-1.1.4-r0.apk | 344.7 KiB | 2025-08-19 20:13:25 |
| lomiri-sounds-25.01-r0.apk | 18.0 MiB | 2025-08-19 20:13:27 |
| dfl-ipc-dev-0.3.0-r0.apk | 4.5 KiB | 2025-08-21 08:05:14 |
| dfl-login1-dev-0.3.0-r0.apk | 3.4 KiB | 2025-08-21 08:05:14 |
| wayqt-0.3.0-r1.apk | 142.4 KiB | 2025-08-21 08:05:14 |
| dfl-applications-0.3.0-r0.apk | 70.6 KiB | 2025-08-21 08:05:14 |
| dfl-sni-dev-0.3.0-r0.apk | 4.7 KiB | 2025-08-21 08:05:14 |
| dfl-applications-dev-0.3.0-r0.apk | 3.7 KiB | 2025-08-21 08:05:14 |
| paperde-dev-0.3.0-r2.apk | 4.7 KiB | 2025-08-21 08:05:14 |
| wayqt-dev-0.3.0-r1.apk | 18.1 KiB | 2025-08-21 08:05:14 |
| paperde-0.3.0-r2.apk | 629.6 KiB | 2025-08-21 08:05:14 |
| dfl-login1-0.3.0-r0.apk | 36.0 KiB | 2025-08-21 08:05:14 |
| dfl-ipc-0.3.0-r0.apk | 49.8 KiB | 2025-08-21 08:05:14 |
| dfl-sni-0.3.0-r0.apk | 62.9 KiB | 2025-08-21 08:05:14 |
| vim-rust-305-r1.apk | 19.6 KiB | 2025-08-21 08:06:21 |
| py3-cstruct-pyc-6.1-r0.apk | 37.4 KiB | 2025-08-21 23:08:26 |
| py3-cstruct-6.1-r0.apk | 22.9 KiB | 2025-08-21 23:08:26 |
| swappy-lang-1.7.1-r0.apk | 3.5 KiB | 2025-08-21 23:30:01 |
| swappy-doc-1.7.1-r0.apk | 3.6 KiB | 2025-08-21 23:30:01 |
| swappy-1.7.1-r0.apk | 30.3 KiB | 2025-08-21 23:30:01 |
| komikku-pyc-1.85.0-r0.apk | 797.3 KiB | 2025-08-22 08:07:52 |
| komikku-1.85.0-r0.apk | 443.8 KiB | 2025-08-22 08:07:52 |
| komikku-lang-1.85.0-r0.apk | 284.0 KiB | 2025-08-22 08:07:52 |
| maxima-doc-5.48.1-r9.apk | 845.4 KiB | 2025-08-22 10:31:29 |
| maxima-5.48.1-r9.apk | 24.7 MiB | 2025-08-22 10:31:29 |
| maxima-bash-completion-5.48.1-r9.apk | 2.1 KiB | 2025-08-22 10:31:29 |
| maxima-doc-extra-5.48.1-r9.apk | 9.6 MiB | 2025-08-22 10:31:30 |
| maxima-emacs-5.48.1-r9.apk | 110.5 KiB | 2025-08-22 10:31:30 |
| wiremix-doc-0.7.0-r0.apk | 9.1 KiB | 2025-08-22 12:02:53 |
| wiremix-0.7.0-r0.apk | 875.8 KiB | 2025-08-22 12:02:53 |
| xtensor-0.27.0-r0.apk | 269.5 KiB | 2025-08-25 06:41:28 |
| py3-croniter-6.0.0-r0.apk | 25.5 KiB | 2025-08-25 09:06:05 |
| py3-croniter-pyc-6.0.0-r0.apk | 25.3 KiB | 2025-08-25 09:06:05 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7.3 KiB | 2025-08-25 10:58:53 |
| py3-himitsu-0.0.9-r0.apk | 5.5 KiB | 2025-08-25 10:58:53 |
| cmusfm-0.5.0-r1.apk | 15.9 KiB | 2025-08-27 04:14:26 |
| perl-minion-backend-pg-11.0-r0.apk | 9.3 KiB | 2025-08-27 09:26:20 |
| perl-minion-11.0-r0.apk | 1.5 MiB | 2025-08-27 09:26:20 |
| perl-minion-doc-11.0-r0.apk | 50.4 KiB | 2025-08-27 09:26:20 |
| py3-pyglet-2.1.5-r1.apk | 889.7 KiB | 2025-08-27 17:13:29 |
| py3-pyglet-pyc-2.1.5-r1.apk | 1.6 MiB | 2025-08-27 17:13:29 |
| wl-screenrec-0.1.7-r1.apk | 590.5 KiB | 2025-08-27 17:13:32 |
| wl-screenrec-zsh-completion-0.1.7-r1.apk | 3.4 KiB | 2025-08-27 17:13:32 |
| wl-screenrec-doc-0.1.7-r1.apk | 9.2 KiB | 2025-08-27 17:13:32 |
| wl-screenrec-bash-completion-0.1.7-r1.apk | 2.2 KiB | 2025-08-27 17:13:32 |
| wl-screenrec-fish-completion-0.1.7-r1.apk | 3.0 KiB | 2025-08-27 17:13:32 |
| certbot-dns-pdns-0.1.1-r1.apk | 8.3 KiB | 2025-08-28 01:20:21 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3.6 KiB | 2025-08-28 01:20:21 |
| nwg-displays-0.3.26-r0.apk | 26.4 KiB | 2025-08-28 07:40:04 |
| nwg-displays-pyc-0.3.26-r0.apk | 35.8 KiB | 2025-08-28 07:40:04 |
| py3-enlighten-1.14.1-r0.apk | 36.6 KiB | 2025-08-28 09:32:29 |
| py3-enlighten-pyc-1.14.1-r0.apk | 46.1 KiB | 2025-08-28 09:32:30 |
| py3-prefixed-0.9.0-r0.apk | 14.1 KiB | 2025-08-28 09:32:30 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8.3 KiB | 2025-08-28 09:32:30 |
| glslviewer-3.2.4-r2.apk | 1.9 MiB | 2025-08-28 17:50:11 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1.4 KiB | 2025-08-28 21:09:17 |
| nymphcast-mediaserver-0.1-r4.apk | 74.0 KiB | 2025-08-28 21:09:17 |
| raku-distribution-builder-makefromjson-0.6-r1.apk | 41.1 KiB | 2025-08-29 08:25:14 |
| raku-distribution-builder-makefromjson-doc-0.6-r1.apk | 2.1 KiB | 2025-08-29 08:25:14 |
| raku-inline-perl5-doc-0.60_git20250327-r1.apk | 6.0 KiB | 2025-08-29 08:25:15 |
| raku-system-query-0.1.6-r1.apk | 16.5 KiB | 2025-08-29 08:25:15 |
| raku-system-query-doc-0.1.6-r1.apk | 2.3 KiB | 2025-08-29 08:25:15 |
| raku-inline-perl5-0.60_git20250327-r1.apk | 2.1 MiB | 2025-08-29 08:25:15 |
| please-doc-0.5.6-r0.apk | 15.8 KiB | 2025-08-29 08:36:55 |
| please-0.5.6-r0.apk | 1.0 MiB | 2025-08-29 08:36:55 |
| py3-marisa-trie-1.3.1-r0.apk | 133.4 KiB | 2025-08-29 09:32:33 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 12.5 KiB | 2025-08-30 01:40:27 |
| py3-lsp-mypy-0.7.0-r1.apk | 12.6 KiB | 2025-08-30 01:40:27 |
| perl-cgi-simple-1.282-r0.apk | 55.4 KiB | 2025-08-30 04:20:42 |
| perl-cgi-simple-doc-1.282-r0.apk | 42.5 KiB | 2025-08-30 04:20:42 |
| xfsdump-doc-3.2.0-r0.apk | 42.2 KiB | 2025-08-30 20:48:28 |
| xfsdump-3.2.0-r0.apk | 418.3 KiB | 2025-08-30 20:48:28 |
| xwayland-satellite-0.7-r0.apk | 1.0 MiB | 2025-08-30 22:13:57 |
| libsirocco-dev-2.1.1-r0.apk | 1.9 KiB | 2025-08-31 17:49:25 |
| libsirocco-2.1.1-r0.apk | 63.6 KiB | 2025-08-31 17:49:25 |
| toml2json-1.3.2-r0.apk | 377.2 KiB | 2025-09-01 13:13:59 |
| toml2json-doc-1.3.2-r0.apk | 3.4 KiB | 2025-09-01 13:13:59 |
| perl-io-interactive-doc-1.027-r0.apk | 4.9 KiB | 2025-09-01 13:16:40 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5.3 KiB | 2025-09-01 13:16:40 |
| perl-cpansa-db-20250807.001-r0.apk | 1.3 MiB | 2025-09-01 13:16:40 |
| perl-io-interactive-1.027-r0.apk | 5.1 KiB | 2025-09-01 13:16:40 |
| py3-queuelib-pyc-1.8.0-r0.apk | 24.2 KiB | 2025-09-01 18:14:47 |
| py3-queuelib-1.8.0-r0.apk | 12.1 KiB | 2025-09-01 18:14:47 |
| py3-dnslib-0.9.26-r0.apk | 56.1 KiB | 2025-09-01 18:15:46 |
| py3-dnslib-pyc-0.9.26-r0.apk | 108.8 KiB | 2025-09-01 18:15:46 |
| authenticator-rs-0.8.6-r0.apk | 2.3 MiB | 2025-09-01 18:31:30 |
| authenticator-rs-lang-0.8.6-r0.apk | 3.8 KiB | 2025-09-01 18:31:30 |
| elementary-camera-8.0.2-r0.apk | 88.1 KiB | 2025-09-02 02:26:01 |
| elementary-camera-lang-8.0.2-r0.apk | 34.9 KiB | 2025-09-02 02:26:01 |
| elementary-videos-lang-8.0.2-r0.apk | 83.2 KiB | 2025-09-02 02:28:53 |
| elementary-videos-8.0.2-r0.apk | 118.0 KiB | 2025-09-02 02:28:53 |
| elementary-calculator-lang-8.0.1-r0.apk | 59.2 KiB | 2025-09-02 02:30:14 |
| elementary-calculator-8.0.1-r0.apk | 72.3 KiB | 2025-09-02 02:30:14 |
| amdgpu_top-0.11.0-r0.apk | 7.0 MiB | 2025-09-03 00:49:07 |
| amdgpu_top-doc-0.11.0-r0.apk | 3.7 KiB | 2025-09-03 00:49:07 |
| libqtdbustest-0.4.0-r0.apk | 33.6 KiB | 2025-09-03 21:56:12 |
| malcontent-0.13.1-r0.apk | 162.6 KiB | 2025-09-03 21:56:12 |
| malcontent-dev-0.13.1-r0.apk | 23.7 KiB | 2025-09-03 21:56:12 |
| malcontent-doc-0.13.1-r0.apk | 44.5 KiB | 2025-09-03 21:56:12 |
| aide-0.19.2-r0.apk | 86.2 KiB | 2025-09-06 17:16:16 |
| alertmanager-irc-relay-openrc-0.5.1-r1.apk | 1.7 KiB | 2025-09-06 17:16:16 |
| aide-doc-0.19.2-r0.apk | 15.3 KiB | 2025-09-06 17:16:16 |
| alertmanager-irc-relay-0.5.1-r1.apk | 4.7 MiB | 2025-09-06 17:16:16 |
| apulse-doc-0.1.14-r0.apk | 2.7 KiB | 2025-09-06 17:16:19 |
| apulse-0.1.14-r0.apk | 44.0 KiB | 2025-09-06 17:16:19 |
| ckb-next-0.6.2-r1.apk | 1.4 MiB | 2025-09-06 17:16:38 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1.6 KiB | 2025-09-06 17:16:39 |
| ckb-next-daemon-0.6.2-r1.apk | 71.9 KiB | 2025-09-06 17:16:39 |
| ckb-next-dev-0.6.2-r1.apk | 4.7 KiB | 2025-09-06 17:16:39 |
| epic6-script-0_git20250821-r0.apk | 148.7 KiB | 2025-09-06 17:16:57 |
| exabgp-openrc-4.2.24-r1.apk | 2.0 KiB | 2025-09-06 17:16:57 |
| exabgp-pyc-4.2.24-r1.apk | 778.0 KiB | 2025-09-06 17:16:57 |
| epic6-doc-0_git20250821-r0.apk | 16.9 KiB | 2025-09-06 17:16:57 |
| exabgp-doc-4.2.24-r1.apk | 7.8 KiB | 2025-09-06 17:16:57 |
| epic6-0_git20250821-r0.apk | 383.1 KiB | 2025-09-06 17:16:57 |
| exabgp-4.2.24-r1.apk | 384.6 KiB | 2025-09-06 17:16:57 |
| femto-2.24.1-r0.apk | 66.2 KiB | 2025-09-06 17:16:58 |
| femto-doc-2.24.1-r0.apk | 47.3 KiB | 2025-09-06 17:16:58 |
| gearmand-openrc-1.1.22-r0.apk | 1.5 KiB | 2025-09-06 17:17:02 |
| gearmand-1.1.22-r0.apk | 189.2 KiB | 2025-09-06 17:17:02 |
| gearmand-doc-1.1.22-r0.apk | 188.8 KiB | 2025-09-06 17:17:02 |
| gearman-dev-1.1.22-r0.apk | 1.0 MiB | 2025-09-06 17:17:02 |
| gearman-libs-1.1.22-r0.apk | 90.0 KiB | 2025-09-06 17:17:02 |
| go-away-openrc-0.7.0-r1.apk | 2.0 KiB | 2025-09-06 17:17:05 |
| go-away-0.7.0-r1.apk | 7.7 MiB | 2025-09-06 17:17:05 |
| lshell-doc-0.9.18-r12.apk | 24.8 KiB | 2025-09-06 17:17:48 |
| lshell-pyc-0.9.18-r12.apk | 34.8 KiB | 2025-09-06 17:17:48 |
| lshell-0.9.18-r12.apk | 36.0 KiB | 2025-09-06 17:17:48 |
| perl-module-extract-version-1.119-r0.apk | 3.0 KiB | 2025-09-06 17:18:10 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12.1 KiB | 2025-09-06 17:18:10 |
| perl-module-extract-version-doc-1.119-r0.apk | 3.2 KiB | 2025-09-06 17:18:10 |
| perl-cpan-audit-20250829.001-r0.apk | 13.3 KiB | 2025-09-06 17:18:10 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 22.5 KiB | 2025-09-06 17:18:19 |
| py3-flask-socketio-doc-5.5.1-r0.apk | 22.8 KiB | 2025-09-06 17:18:19 |
| py3-simple-websocket-1.1.0-r0.apk | 10.6 KiB | 2025-09-06 17:18:19 |
| py3-socketio-pyc-5.13.0-r0.apk | 126.5 KiB | 2025-09-06 17:18:19 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2.0 KiB | 2025-09-06 17:18:19 |
| py3-socketio-doc-5.13.0-r0.apk | 35.8 KiB | 2025-09-06 17:18:19 |
| py3-flask-socketio-5.5.1-r0.apk | 18.1 KiB | 2025-09-06 17:18:19 |
| py3-flask-socketio-pyc-5.5.1-r0.apk | 25.7 KiB | 2025-09-06 17:18:19 |
| py3-socketio-5.13.0-r0.apk | 62.0 KiB | 2025-09-06 17:18:19 |
| rust-script-0.36.0-r0.apk | 932.3 KiB | 2025-09-06 17:18:25 |
| tuptime-openrc-5.2.4-r2.apk | 1.5 KiB | 2025-09-06 17:18:56 |
| tuptime-doc-5.2.4-r2.apk | 3.5 KiB | 2025-09-06 17:18:56 |
| tuptime-5.2.4-r2.apk | 13.7 KiB | 2025-09-06 17:18:56 |
| lomiri-indicator-network-doc-1.1.2-r0.apk | 1.8 KiB | 2025-09-08 01:01:06 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 2.9 KiB | 2025-09-08 01:01:06 |
| lomiri-indicator-network-lang-1.1.2-r0.apk | 199.2 KiB | 2025-09-08 01:01:06 |
| persistent-cache-cpp-1.0.9-r0.apk | 45.7 KiB | 2025-09-08 01:01:06 |
| lomiri-indicator-network-1.1.2-r0.apk | 630.8 KiB | 2025-09-08 01:01:06 |
| lomiri-indicator-network-dev-1.1.2-r0.apk | 9.3 KiB | 2025-09-08 01:01:06 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 17.6 KiB | 2025-09-08 01:01:06 |
| oniux-doc-0.6.1-r0.apk | 3.0 KiB | 2025-09-08 15:39:08 |
| oniux-0.6.1-r0.apk | 6.1 MiB | 2025-09-08 15:39:08 |
| php81-pecl-zstd-0.15.2-r0.apk | 17.1 KiB | 2025-09-09 17:52:13 |
| perl-mce-1.902-r0.apk | 134.2 KiB | 2025-09-10 04:01:47 |
| perl-mce-doc-1.902-r0.apk | 171.0 KiB | 2025-09-10 04:01:47 |
| ostui-doc-1.0.4-r0.apk | 27.7 KiB | 2025-09-11 02:50:49 |
| ostui-1.0.4-r0.apk | 4.7 MiB | 2025-09-11 02:50:49 |
| git-quick-stats-doc-2.8.0-r0.apk | 3.2 KiB | 2025-09-11 08:19:35 |
| git-quick-stats-2.8.0-r0.apk | 15.0 KiB | 2025-09-11 08:19:35 |
| nm-tray-0.5.1-r0.apk | 103.8 KiB | 2025-09-11 17:36:24 |
| nm-tray-lang-0.5.1-r0.apk | 27.0 KiB | 2025-09-11 17:36:24 |
| perl-snmp-info-3.974000-r0.apk | 336.7 KiB | 2025-09-12 04:50:22 |
| perl-snmp-info-doc-3.974000-r0.apk | 389.3 KiB | 2025-09-12 04:50:22 |
| cava-0.10.6-r0.apk | 49.1 KiB | 2025-09-12 08:21:25 |
| pounce-doc-3.1-r4.apk | 8.3 KiB | 2025-09-12 22:24:03 |
| tcpbench-doc-3.00-r1.apk | 5.0 KiB | 2025-09-12 22:24:03 |
| waynergy-0.0.17-r1.apk | 50.5 KiB | 2025-09-12 22:24:03 |
| sacc-1.07-r1.apk | 15.8 KiB | 2025-09-12 22:24:03 |
| tcpbench-3.00-r1.apk | 12.8 KiB | 2025-09-12 22:24:03 |
| litterbox-doc-1.9-r2.apk | 6.9 KiB | 2025-09-12 22:24:03 |
| litterbox-1.9-r2.apk | 35.3 KiB | 2025-09-12 22:24:03 |
| pounce-openrc-3.1-r4.apk | 2.5 KiB | 2025-09-12 22:24:03 |
| sacc-doc-1.07-r1.apk | 2.6 KiB | 2025-09-12 22:24:03 |
| pounce-3.1-r4.apk | 30.9 KiB | 2025-09-12 22:24:03 |
| ptouch-print-doc-1.7-r0.apk | 2.9 KiB | 2025-09-13 13:04:11 |
| reap-0.2-r0.apk | 5.2 KiB | 2025-09-13 13:04:11 |
| ptouch-print-1.7-r0.apk | 29.9 KiB | 2025-09-13 13:04:11 |
| reap-doc-0.2-r0.apk | 2.4 KiB | 2025-09-13 13:04:11 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 15.9 KiB | 2025-09-14 02:03:40 |
| py3-wikipedia-1.4.0-r0.apk | 12.0 KiB | 2025-09-14 02:03:40 |
| py3-wikipedia-doc-1.4.0-r0.apk | 3.9 KiB | 2025-09-14 02:03:40 |
| agate-openrc-3.3.19-r0.apk | 1.7 KiB | 2025-10-03 08:43:10 |
| agate-3.3.19-r0.apk | 758.6 KiB | 2025-10-03 08:43:10 |
| bacon-3.18.0-r0.apk | 1.9 MiB | 2025-10-03 08:43:11 |
| baresip-4.1.0-r0.apk | 1.2 MiB | 2025-10-03 08:43:11 |
| baresip-dev-4.1.0-r0.apk | 15.7 KiB | 2025-10-03 08:43:11 |
| crispy-doom-doc-7.1-r0.apk | 107.1 KiB | 2025-10-03 08:43:36 |
| crispy-doom-7.1-r0.apk | 1.9 MiB | 2025-10-03 08:43:36 |
| eclipse-ecj-4.37-r0.apk | 2.5 MiB | 2025-10-03 08:43:45 |
| evolution-etesync-lang-1.1.1-r0.apk | 19.0 KiB | 2025-10-03 08:43:45 |
| droidcam-2.1.3-r3.apk | 19.4 KiB | 2025-10-03 08:43:45 |
| dsp-doc-2.0-r2.apk | 9.9 KiB | 2025-10-03 08:43:45 |
| droidcam-gui-2.1.3-r3.apk | 28.7 KiB | 2025-10-03 08:43:45 |
| evolution-etesync-1.1.1-r0.apk | 67.1 KiB | 2025-10-03 08:43:45 |
| evolution-on-3.24.4-r1.apk | 11.2 KiB | 2025-10-03 08:43:45 |
| dsp-2.0-r2.apk | 169.4 KiB | 2025-10-03 08:43:45 |
| fceux-2.6.6-r4.apk | 2.9 MiB | 2025-10-03 08:43:46 |
| fceux-doc-2.6.6-r4.apk | 104.4 KiB | 2025-10-03 08:43:46 |
| ffms2-5.0-r2.apk | 75.1 KiB | 2025-10-03 08:43:47 |
| ffms2-doc-5.0-r2.apk | 30.0 KiB | 2025-10-03 08:43:47 |
| fileshelter-openrc-6.2.0-r4.apk | 1.4 KiB | 2025-10-03 08:43:47 |
| ffms2-dev-5.0-r2.apk | 7.3 KiB | 2025-10-03 08:43:47 |
| fileshelter-6.2.0-r4.apk | 323.2 KiB | 2025-10-03 08:43:47 |
| font-andika-six-6.210-r0.apk | 1.5 MiB | 2025-10-03 08:43:53 |
| fungw-doc-1.2.2-r0.apk | 12.9 KiB | 2025-10-03 08:43:56 |
| fungw-duktape-1.2.2-r0.apk | 18.1 KiB | 2025-10-03 08:43:56 |
| fungw-lua-1.2.2-r0.apk | 15.6 KiB | 2025-10-03 08:43:56 |
| font-hanazono-20170904-r2.apk | 28.9 MiB | 2025-10-03 08:43:56 |
| fungw-c-1.2.2-r0.apk | 8.3 KiB | 2025-10-03 08:43:56 |
| fungw-fawk-1.2.2-r0.apk | 111.2 KiB | 2025-10-03 08:43:56 |
| fungw-perl-1.2.2-r0.apk | 49.7 KiB | 2025-10-03 08:43:56 |
| fungw-python3-1.2.2-r0.apk | 27.5 KiB | 2025-10-03 08:43:56 |
| fungw-mujs-1.2.2-r0.apk | 17.7 KiB | 2025-10-03 08:43:56 |
| fungw-tcl-1.2.2-r0.apk | 14.0 KiB | 2025-10-03 08:43:56 |
| fungw-dev-1.2.2-r0.apk | 7.6 KiB | 2025-10-03 08:43:56 |
| fungw-1.2.2-r0.apk | 13.7 KiB | 2025-10-03 08:43:56 |
| fungw-cli-1.2.2-r0.apk | 23.8 KiB | 2025-10-03 08:43:56 |
| hardinfo2-2.2.13-r0.apk | 3.3 MiB | 2025-10-03 08:43:57 |
| gtranslator-lang-49.0-r0.apk | 592.8 KiB | 2025-10-03 08:43:57 |
| hiprompt-gtk-py-0.8.0-r1.apk | 7.8 KiB | 2025-10-03 08:43:57 |
| gtranslator-doc-49.0-r0.apk | 637.3 KiB | 2025-10-03 08:43:57 |
| hardinfo2-doc-2.2.13-r0.apk | 2.7 KiB | 2025-10-03 08:43:57 |
| hardinfo2-lang-2.2.13-r0.apk | 291.5 KiB | 2025-10-03 08:43:57 |
| gtranslator-49.0-r0.apk | 144.9 KiB | 2025-10-03 08:43:57 |
| hardinfo2-openrc-2.2.13-r0.apk | 1.7 KiB | 2025-10-03 08:43:57 |
| libre-dev-4.1.0-r0.apk | 508.9 KiB | 2025-10-03 08:44:06 |
| libetebase-0.5.8-r0.apk | 850.6 KiB | 2025-10-03 08:44:06 |
| libetebase-dev-0.5.8-r0.apk | 10.6 KiB | 2025-10-03 08:44:06 |
| libre-4.1.0-r0.apk | 301.9 KiB | 2025-10-03 08:44:06 |
| lutris-lang-0.5.19-r1.apk | 810.2 KiB | 2025-10-03 08:44:22 |
| lutris-0.5.19-r1.apk | 818.9 KiB | 2025-10-03 08:44:22 |
| lutris-doc-0.5.19-r1.apk | 2.1 KiB | 2025-10-03 08:44:22 |
| lutris-pyc-0.5.19-r1.apk | 1.1 MiB | 2025-10-03 08:44:22 |
| lomiri-schemas-0.1.9-r0.apk | 10.2 KiB | 2025-10-03 08:44:22 |
| mat2-doc-0.13.5-r0.apk | 7.4 KiB | 2025-10-03 08:44:23 |
| mat2-pyc-0.13.5-r0.apk | 54.2 KiB | 2025-10-03 08:44:23 |
| mat2-0.13.5-r0.apk | 35.1 KiB | 2025-10-03 08:44:23 |
| mdbook-alerts-0.8.0-r0.apk | 748.0 KiB | 2025-10-03 08:44:23 |
| motion-lang-4.7.1-r0.apk | 470.5 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 81.7 KiB | 2025-10-03 08:44:35 |
| musikcube-3.0.5-r0.apk | 2.3 MiB | 2025-10-03 08:44:35 |
| mml-doc-1.0.0-r1.apk | 3.5 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 32.0 KiB | 2025-10-03 08:44:35 |
| mml-fish-completion-1.0.0-r1.apk | 2.0 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 38.1 KiB | 2025-10-03 08:44:35 |
| mml-bash-completion-1.0.0-r1.apk | 2.0 KiB | 2025-10-03 08:44:35 |
| mml-1.0.0-r1.apk | 929.9 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 21.6 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 30.0 KiB | 2025-10-03 08:44:35 |
| motion-openrc-4.7.1-r0.apk | 2.0 KiB | 2025-10-03 08:44:35 |
| motion-4.7.1-r0.apk | 140.2 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-server-3.0.5-r0.apk | 398.6 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 21.9 KiB | 2025-10-03 08:44:35 |
| mml-zsh-completion-1.0.0-r1.apk | 2.5 KiB | 2025-10-03 08:44:35 |
| motion-doc-4.7.1-r0.apk | 139.4 KiB | 2025-10-03 08:44:35 |
| nomadnet-pyc-0.8.0-r0.apk | 284.4 KiB | 2025-10-03 08:44:35 |
| musikcube-plugin-all-3.0.5-r0.apk | 1.1 KiB | 2025-10-03 08:44:35 |
| musikcube-dev-3.0.5-r0.apk | 18.8 KiB | 2025-10-03 08:44:35 |
| nomadnet-0.8.0-r0.apk | 143.0 KiB | 2025-10-03 08:44:35 |
| oils-for-unix-bash-0.35.0-r0.apk | 1.4 KiB | 2025-10-03 08:44:36 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1.3 KiB | 2025-10-03 08:44:36 |
| oils-for-unix-doc-0.35.0-r0.apk | 6.8 KiB | 2025-10-03 08:44:36 |
| oils-for-unix-0.35.0-r0.apk | 671.9 KiB | 2025-10-03 08:44:36 |
| pdf2svg-0.2.4-r0.apk | 4.8 KiB | 2025-10-03 08:45:03 |
| php81-pecl-protobuf-4.32.1-r0.apk | 149.7 KiB | 2025-10-03 08:45:03 |
| perl-astro-satpass-0.134-r0.apk | 217.9 KiB | 2025-10-03 08:45:03 |
| php81-pecl-mailparse-3.1.9-r0.apk | 24.1 KiB | 2025-10-03 08:45:03 |
| perl-math-random-0.75-r0.apk | 38.8 KiB | 2025-10-03 08:45:03 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 58.9 KiB | 2025-10-03 08:45:03 |
| perl-astro-satpass-doc-0.134-r0.apk | 132.6 KiB | 2025-10-03 08:45:03 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 58.9 KiB | 2025-10-03 08:45:03 |
| perl-math-random-doc-0.75-r0.apk | 11.1 KiB | 2025-10-03 08:45:03 |
| php85-pecl-vld-0.19.1-r1.apk | 16.3 KiB | 2025-10-03 08:45:10 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9.1 KiB | 2025-10-03 08:45:14 |
| py3-rns-1.0.0-r0.apk | 343.9 KiB | 2025-10-03 08:45:14 |
| py3-meshtastic-2.7.2-r0.apk | 539.6 KiB | 2025-10-03 08:45:14 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 136.9 KiB | 2025-10-03 08:45:14 |
| py3-lxmf-pyc-0.8.0-r0.apk | 109.1 KiB | 2025-10-03 08:45:14 |
| py3-lxmf-0.8.0-r0.apk | 50.5 KiB | 2025-10-03 08:45:14 |
| py3-latex2mathml-3.78.1-r1.apk | 71.8 KiB | 2025-10-03 08:45:14 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 34.5 KiB | 2025-10-03 08:45:14 |
| quodlibet-pyc-4.7.1-r0.apk | 1.8 MiB | 2025-10-03 08:45:15 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2.5 KiB | 2025-10-03 08:45:15 |
| quodlibet-lang-4.7.1-r0.apk | 1.4 MiB | 2025-10-03 08:45:15 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4.2 KiB | 2025-10-03 08:45:15 |
| quodlibet-4.7.1-r0.apk | 1.0 MiB | 2025-10-03 08:45:15 |
| quodlibet-doc-4.7.1-r0.apk | 8.4 KiB | 2025-10-03 08:45:15 |
| qtile-0.33.0-r0.apk | 468.7 KiB | 2025-10-03 08:45:15 |
| qtile-pyc-0.33.0-r0.apk | 857.7 KiB | 2025-10-03 08:45:15 |
| py3-rns-pyc-1.0.0-r0.apk | 704.3 KiB | 2025-10-03 08:45:15 |
| reaction-tools-2.2.1-r0.apk | 6.6 KiB | 2025-10-03 08:45:16 |
| reaction-openrc-2.2.1-r0.apk | 1.6 KiB | 2025-10-03 08:45:16 |
| reaction-2.2.1-r0.apk | 1.5 MiB | 2025-10-03 08:45:16 |
| ruby-build-runtime-20250925-r0.apk | 1.0 KiB | 2025-10-03 08:45:18 |
| ruby-build-20250925-r0.apk | 92.8 KiB | 2025-10-03 08:45:18 |
| ruby-build-doc-20250925-r0.apk | 4.5 KiB | 2025-10-03 08:45:18 |
| snapper-dev-0.13.0-r0.apk | 10.5 KiB | 2025-10-03 08:45:19 |
| snapper-doc-0.13.0-r0.apk | 25.0 KiB | 2025-10-03 08:45:19 |
| stam-0.12.2-r0.apk | 1.7 MiB | 2025-10-03 08:45:19 |
| snapper-zsh-completion-0.13.0-r0.apk | 3.3 KiB | 2025-10-03 08:45:19 |
| snapper-bash-completion-0.13.0-r0.apk | 3.1 KiB | 2025-10-03 08:45:19 |
| snapper-0.13.0-r0.apk | 1.1 MiB | 2025-10-03 08:45:19 |
| snapper-lang-0.13.0-r0.apk | 218.7 KiB | 2025-10-03 08:45:19 |
| way-displays-1.15.0-r0.apk | 120.1 KiB | 2025-10-03 08:45:26 |
| visidata-pyc-3.3-r0.apk | 845.5 KiB | 2025-10-03 08:45:26 |
| visidata-zsh-completion-3.3-r0.apk | 9.2 KiB | 2025-10-03 08:45:26 |
| visidata-3.3-r0.apk | 424.4 KiB | 2025-10-03 08:45:26 |
| visidata-doc-3.3-r0.apk | 18.1 KiB | 2025-10-03 08:45:26 |
| way-displays-doc-1.15.0-r0.apk | 4.3 KiB | 2025-10-03 08:45:26 |
| xpar-0.7-r0.apk | 27.3 KiB | 2025-10-03 08:45:28 |
| xpar-doc-0.7-r0.apk | 4.1 KiB | 2025-10-03 08:45:28 |
| xmoto-0.6.3-r0.apk | 1.9 MiB | 2025-10-03 17:03:08 |
| xmoto-lang-0.6.3-r0.apk | 531.8 KiB | 2025-10-03 17:03:11 |
| xmoto-doc-0.6.3-r0.apk | 5.6 KiB | 2025-10-03 17:03:11 |
| xmoto-data-0.6.3-r0.apk | 36.7 MiB | 2025-10-03 17:03:11 |
| libcotp-dev-3.1.1-r0.apk | 2.3 KiB | 2025-10-03 22:25:35 |
| libcotp-3.1.1-r0.apk | 10.3 KiB | 2025-10-03 22:25:35 |
| cargo-generate-0.23.5-r0.apk | 2.4 MiB | 2025-10-04 13:16:00 |
| geoclue-stumbler-1.1-r0.apk | 44.8 KiB | 2025-10-04 17:04:02 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 13.5 KiB | 2025-10-04 23:15:43 |
| qadwaitadecorations-0.1.7-r1.apk | 49.5 KiB | 2025-10-05 09:53:47 |
| vector-doc-0.50.0-r0.apk | 5.5 KiB | 2025-10-05 19:28:10 |
| vector-openrc-0.50.0-r0.apk | 1.9 KiB | 2025-10-05 19:28:10 |
| vector-0.50.0-r0.apk | 25.9 MiB | 2025-10-05 19:28:10 |
| mimedefang-3.6-r1.apk | 160.3 KiB | 2025-10-06 01:17:23 |
| mimedefang-doc-3.6-r1.apk | 80.8 KiB | 2025-10-06 01:17:23 |
| heisenbridge-1.15.4-r0.apk | 67.0 KiB | 2025-10-06 05:07:56 |
| heisenbridge-pyc-1.15.4-r0.apk | 154.7 KiB | 2025-10-06 05:07:56 |
| tick-1.2.3-r0.apk | 10.7 KiB | 2025-10-06 06:06:26 |
| tick-doc-1.2.3-r0.apk | 5.3 KiB | 2025-10-06 06:06:26 |
| py3-irc-pyc-20.4.1-r1.apk | 70.0 KiB | 2025-10-06 06:09:51 |
| py3-irc-20.4.1-r1.apk | 39.8 KiB | 2025-10-06 06:09:51 |
| libemf2svg-utils-1.1.0-r3.apk | 18.7 KiB | 2025-10-06 11:33:38 |
| biboumi-doc-9.0-r9.apk | 1.2 KiB | 2025-10-06 11:33:38 |
| libemf2svg-1.1.0-r3.apk | 162.3 KiB | 2025-10-06 11:33:38 |
| biboumi-openrc-9.0-r9.apk | 1.6 KiB | 2025-10-06 11:33:38 |
| biboumi-9.0-r9.apk | 276.0 KiB | 2025-10-06 11:33:38 |
| pspp-doc-2.0.1-r1.apk | 8.7 KiB | 2025-10-06 11:33:39 |
| vile-9.8z_p1-r2.apk | 791.1 KiB | 2025-10-06 11:33:39 |
| pspp-dbg-2.0.1-r1.apk | 4.2 MiB | 2025-10-06 11:33:39 |
| vile-common-9.8z_p1-r2.apk | 362.6 KiB | 2025-10-06 11:33:39 |
| xvile-9.8z_p1-r2.apk | 817.0 KiB | 2025-10-06 11:33:39 |
| pspp-2.0.1-r1.apk | 9.7 MiB | 2025-10-06 11:33:39 |
| vile-doc-9.8z_p1-r2.apk | 356.9 KiB | 2025-10-06 11:33:39 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6.2 KiB | 2025-10-06 11:45:12 |
| certbot-dns-hetzner-2.0.1-r1.apk | 9.9 KiB | 2025-10-06 11:45:12 |
| idevicerestore-1.0.0_git20250914-r0.apk | 100.2 KiB | 2025-10-06 17:41:27 |
| libtatsu-dev-1.0.5-r0.apk | 22.4 KiB | 2025-10-06 17:41:27 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3.2 KiB | 2025-10-06 17:41:27 |
| libirecovery-1.3.0-r0.apk | 25.0 KiB | 2025-10-06 17:41:27 |
| libtatsu-1.0.5-r0.apk | 16.9 KiB | 2025-10-06 17:41:27 |
| libirecovery-dev-1.3.0-r0.apk | 3.9 KiB | 2025-10-06 17:41:27 |
| libirecovery-progs-1.3.0-r0.apk | 8.6 KiB | 2025-10-06 17:41:27 |
| gmcapsule-0.9.8-r0.apk | 35.9 KiB | 2025-10-07 09:40:18 |
| gmcapsule-pyc-0.9.8-r0.apk | 60.5 KiB | 2025-10-07 09:40:18 |
| gmcapsule-openrc-0.9.8-r0.apk | 1.7 KiB | 2025-10-07 09:40:18 |
| eiwd-3.10-r0.apk | 913.4 KiB | 2025-10-07 16:34:16 |
| eiwd-openrc-3.10-r0.apk | 1.6 KiB | 2025-10-07 16:34:16 |
| eiwd-doc-3.10-r0.apk | 20.3 KiB | 2025-10-07 16:34:16 |
| php81-pecl-mongodb-2.1.4-r0.apk | 830.6 KiB | 2025-10-08 22:26:40 |
| perl-astro-coords-doc-0.23-r0.apk | 40.1 KiB | 2025-10-08 22:26:40 |
| perl-astro-coords-0.23-r0.apk | 52.3 KiB | 2025-10-08 22:26:40 |
| yaml-language-server-1.19.2-r0.apk | 665.8 KiB | 2025-10-08 22:27:39 |
| yaml-language-server-doc-1.19.2-r0.apk | 2.0 KiB | 2025-10-08 22:27:39 |
| tree-sitter-gleam-1.1.0-r0.apk | 64.2 KiB | 2025-10-08 23:23:13 |
| virtualgl-3.1.4-r0.apk | 2.0 MiB | 2025-10-09 00:04:27 |
| virtualgl-doc-3.1.4-r0.apk | 314.4 KiB | 2025-10-09 00:04:27 |
| eccodes-2.44.0-r0.apk | 11.1 MiB | 2025-10-09 00:04:27 |
| virtualgl-dev-3.1.4-r0.apk | 5.9 KiB | 2025-10-09 00:04:27 |
| zpaq-7.15-r0.apk | 192.6 KiB | 2025-10-09 03:51:17 |
| zpaq-doc-7.15-r0.apk | 16.0 KiB | 2025-10-09 03:51:17 |
| kismet-nrf-51822-0.202509.1-r0.apk | 47.8 KiB | 2025-10-09 04:09:05 |
| kismet-linux-wifi-0.202509.1-r0.apk | 68.9 KiB | 2025-10-09 04:09:05 |
| kismet-0.202509.1-r0.apk | 12.2 MiB | 2025-10-09 04:09:05 |
| kismet-logtools-0.202509.1-r0.apk | 1.2 MiB | 2025-10-09 04:09:05 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 49.1 KiB | 2025-10-09 04:09:05 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 49.7 KiB | 2025-10-09 04:09:05 |
| cadence-0.9.2-r1.apk | 1.5 MiB | 2025-10-09 10:54:20 |
| squeak-vm-4.10.2.2614-r2.apk | 643.9 KiB | 2025-10-09 12:30:54 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12.1 KiB | 2025-10-09 12:30:54 |
| php82-pecl-oauth-2.0.10-r0.apk | 35.6 KiB | 2025-10-09 18:38:22 |
| php83-pecl-oauth-2.0.10-r0.apk | 35.7 KiB | 2025-10-09 18:38:22 |
| php81-pecl-oauth-2.0.10-r0.apk | 35.3 KiB | 2025-10-09 18:38:22 |
| php85-pecl-oauth-2.0.10-r0.apk | 37.3 KiB | 2025-10-09 18:38:22 |
| php84-pecl-oauth-2.0.10-r0.apk | 35.7 KiB | 2025-10-09 18:38:22 |
| cdogs-sdl-2.3.2-r0.apk | 28.2 MiB | 2025-10-09 18:47:28 |
| cargo-geiger-doc-0.13.0-r0.apk | 7.4 KiB | 2025-10-10 17:35:40 |
| cargo-geiger-0.13.0-r0.apk | 5.6 MiB | 2025-10-10 17:35:40 |
| py3-nikola-8.3.3-r0.apk | 4.3 MiB | 2025-10-11 10:48:48 |
| py3-nikola-doc-8.3.3-r0.apk | 61.0 KiB | 2025-10-11 10:48:48 |
| py3-nikola-pyc-8.3.3-r0.apk | 545.1 KiB | 2025-10-11 10:48:48 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 58.7 KiB | 2025-10-11 11:00:59 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 31.1 KiB | 2025-10-11 11:00:59 |
| smplxmpp-doc-0.9.3-r5.apk | 24.6 KiB | 2025-10-12 12:22:15 |
| gr-satellites-doc-5.5.0-r6.apk | 4.3 KiB | 2025-10-12 12:22:15 |
| gr-satellites-5.5.0-r6.apk | 519.6 KiB | 2025-10-12 12:22:15 |
| smplxmpp-0.9.3-r5.apk | 155.5 KiB | 2025-10-12 12:22:15 |
| gr-satellites-dev-5.5.0-r6.apk | 12.5 KiB | 2025-10-12 12:22:15 |
| oppa-1.1.0-r0.apk | 571.7 KiB | 2025-10-12 13:22:20 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30.0 KiB | 2025-10-12 13:35:03 |
| py3-pytest-regtest-2.3.5-r0.apk | 15.8 KiB | 2025-10-12 13:35:03 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 5.6 KiB | 2025-10-12 13:45:19 |
| apt-swarm-0.5.1-r1.apk | 3.0 MiB | 2025-10-12 13:45:19 |
| apt-swarm-openrc-0.5.1-r1.apk | 1.7 KiB | 2025-10-12 13:45:19 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5.5 KiB | 2025-10-12 13:45:19 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 3.9 KiB | 2025-10-12 13:45:19 |
| interception-tools-openrc-0.6.8-r3.apk | 1.4 KiB | 2025-10-12 14:20:13 |
| interception-tools-0.6.8-r3.apk | 107.4 KiB | 2025-10-12 14:20:13 |
| vera++-1.3.0-r11.apk | 197.0 KiB | 2025-10-12 14:25:58 |
| ssh-studio-pyc-1.3.1-r0.apk | 106.3 KiB | 2025-10-12 20:36:21 |
| ssh-studio-1.3.1-r0.apk | 202.1 KiB | 2025-10-12 20:36:21 |
| ssh-studio-lang-1.3.1-r0.apk | 1.6 KiB | 2025-10-12 20:36:21 |
| bat-extras-doc-2024.08.24-r0.apk | 15.3 KiB | 2025-10-12 20:47:35 |
| bat-extras-2024.08.24-r0.apk | 5.1 KiB | 2025-10-12 20:47:35 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5.6 KiB | 2025-10-12 20:47:35 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5.1 KiB | 2025-10-12 20:47:35 |
| bat-extras-batpipe-2024.08.24-r0.apk | 6.8 KiB | 2025-10-12 20:47:35 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7.1 KiB | 2025-10-12 20:47:35 |
| bat-extras-batman-2024.08.24-r0.apk | 4.5 KiB | 2025-10-12 20:47:35 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5.3 KiB | 2025-10-12 20:47:35 |
| gtypist-2.10.1-r0.apk | 636.2 KiB | 2025-10-12 21:00:20 |
| gtypist-doc-2.10.1-r0.apk | 103.6 KiB | 2025-10-12 21:00:20 |
| gtypist-lang-2.10.1-r0.apk | 25.9 KiB | 2025-10-12 21:00:20 |
| py3-pdoc-15.0.4-r0.apk | 132.5 KiB | 2025-10-12 21:22:16 |
| py3-pdoc-pyc-15.0.4-r0.apk | 168.7 KiB | 2025-10-12 21:22:16 |
| vimv-3.1.0-r0.apk | 269.0 KiB | 2025-10-12 21:23:46 |
| vimv-doc-3.1.0-r0.apk | 1.7 KiB | 2025-10-12 21:23:46 |
| gl2ps-1.4.2-r0.apk | 39.3 KiB | 2025-10-12 21:32:13 |
| gl2ps-static-1.4.2-r0.apk | 46.7 KiB | 2025-10-12 21:32:13 |
| gl2ps-doc-1.4.2-r0.apk | 232.7 KiB | 2025-10-12 21:32:13 |
| gl2ps-dev-1.4.2-r0.apk | 4.1 KiB | 2025-10-12 21:32:13 |
| nautilus-python-dev-4.0.1-r0.apk | 1.4 KiB | 2025-10-12 21:54:46 |
| nautilus-python-4.0.1-r0.apk | 10.5 KiB | 2025-10-12 21:54:46 |
| nautilus-python-doc-4.0.1-r0.apk | 4.0 KiB | 2025-10-12 21:54:46 |
| cartero-lang-0.2.2-r0.apk | 44.5 KiB | 2025-10-12 23:56:53 |
| cartero-0.2.2-r0.apk | 1.2 MiB | 2025-10-12 23:56:53 |
| termusic-mpv-0.12.0-r0.apk | 10.0 MiB | 2025-10-13 01:35:38 |
| php81-pecl-memcached-3.4.0-r0.apk | 46.9 KiB | 2025-10-13 08:38:00 |
| roll-bash-completion-2.6.1-r1.apk | 1.6 KiB | 2025-10-13 15:53:41 |
| roll-2.6.1-r1.apk | 13.2 KiB | 2025-10-13 15:53:41 |
| perl-clipboard-doc-0.32-r1.apk | 26.6 KiB | 2025-10-13 15:53:41 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6.5 KiB | 2025-10-13 15:53:41 |
| roll-doc-2.6.1-r1.apk | 11.0 KiB | 2025-10-13 15:53:41 |
| networkmanager-dmenu-2.6.1-r1.apk | 13.5 KiB | 2025-10-13 15:53:41 |
| perl-clipboard-0.32-r1.apk | 10.2 KiB | 2025-10-13 15:53:41 |
| portsmf-dev-239-r2.apk | 19.7 KiB | 2025-10-13 20:03:06 |
| portsmf-239-r2.apk | 60.6 KiB | 2025-10-13 20:03:06 |
| py3-fastavro-pyc-1.12.1-r0.apk | 81.7 KiB | 2025-10-14 01:59:29 |
| py3-fastavro-1.12.1-r0.apk | 434.0 KiB | 2025-10-14 01:59:29 |
| aggregate6-1.0.14-r0.apk | 7.0 KiB | 2025-10-14 11:05:55 |
| aggregate6-pyc-1.0.14-r0.apk | 5.6 KiB | 2025-10-14 11:05:55 |
| aggregate6-doc-1.0.14-r0.apk | 2.7 KiB | 2025-10-14 11:05:55 |
| py3-unicorn-pyc-2.1.4-r0.apk | 92.1 KiB | 2025-10-14 14:04:11 |
| py3-unicorn-2.1.4-r0.apk | 50.3 KiB | 2025-10-14 14:04:11 |
| unicorn-2.1.4-r0.apk | 8.0 MiB | 2025-10-14 14:04:12 |
| unicorn-dev-2.1.4-r0.apk | 9.6 MiB | 2025-10-14 14:04:13 |
| android-apktool-2.12.1-r0.apk | 24.5 MiB | 2025-10-14 14:54:57 |
| homebank-lang-5.9.5-r0.apk | 942.2 KiB | 2025-10-14 14:57:22 |
| py3-unearth-0.18.0-r0.apk | 40.5 KiB | 2025-10-14 14:57:22 |
| responder-3.1.7.0-r0.apk | 747.0 KiB | 2025-10-14 14:57:22 |
| py3-unearth-pyc-0.18.0-r0.apk | 82.1 KiB | 2025-10-14 14:57:22 |
| homebank-5.9.5-r0.apk | 2.0 MiB | 2025-10-14 14:57:22 |
| py3-manuel-1.13.0-r1.apk | 39.1 KiB | 2025-10-14 15:07:43 |
| py3-spotipy-pyc-2.24.0-r3.apk | 49.2 KiB | 2025-10-14 15:07:43 |
| py3-manuel-pyc-1.13.0-r1.apk | 25.4 KiB | 2025-10-14 15:07:43 |
| py3-spotipy-2.24.0-r3.apk | 29.4 KiB | 2025-10-14 15:07:43 |
| py3-okonomiyaki-2.0.0-r1.apk | 7.9 MiB | 2025-10-14 18:14:54 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 242.8 KiB | 2025-10-14 18:14:54 |
| bcg729-1.1.1-r1.apk | 33.7 KiB | 2025-10-15 08:21:37 |
| bcg729-dev-1.1.1-r1.apk | 3.4 KiB | 2025-10-15 08:21:37 |
| libtins-4.5-r2.apk | 309.1 KiB | 2025-10-15 08:23:10 |
| libtins-dev-4.5-r2.apk | 137.5 KiB | 2025-10-15 08:23:10 |
| libtins-doc-4.5-r2.apk | 2.1 KiB | 2025-10-15 08:23:10 |
| py3-hishel-pyc-0.1.4-r0.apk | 143.3 KiB | 2025-10-15 12:50:35 |
| py3-hishel-0.1.4-r0.apk | 76.6 KiB | 2025-10-15 12:50:35 |
| toybox-0.8.13-r0.apk | 254.2 KiB | 2025-10-16 10:31:43 |
| edit-1.2.1-r0.apk | 266.2 KiB | 2025-10-16 13:17:44 |
| edit-doc-1.2.1-r0.apk | 2.0 KiB | 2025-10-16 13:17:44 |
| perl-html-object-0.6.0-r0.apk | 342.6 KiB | 2025-10-16 16:26:36 |
| perl-html-object-doc-0.6.0-r0.apk | 473.3 KiB | 2025-10-16 16:26:36 |
| budgie-screensaver-lang-5.1.0-r0.apk | 239.9 KiB | 2025-10-16 17:15:36 |
| budgie-control-center-1.4.0-r0.apk | 3.0 MiB | 2025-10-16 17:15:36 |
| budgie-desktop-10.9.2-r0.apk | 1.4 MiB | 2025-10-16 17:15:36 |
| budgie-session-lang-0.9.1-r0.apk | 306.4 KiB | 2025-10-16 17:15:36 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2.0 KiB | 2025-10-16 17:15:36 |
| budgie-control-center-lang-1.4.0-r0.apk | 3.9 MiB | 2025-10-16 17:15:36 |
| budgie-desktop-dev-10.9.2-r0.apk | 18.0 KiB | 2025-10-16 17:15:36 |
| budgie-session-doc-0.9.1-r0.apk | 5.0 KiB | 2025-10-16 17:15:36 |
| budgie-desktop-doc-10.9.2-r0.apk | 5.4 KiB | 2025-10-16 17:15:36 |
| budgie-desktop-lang-10.9.2-r0.apk | 585.6 KiB | 2025-10-16 17:15:36 |
| budgie-screensaver-5.1.0-r0.apk | 79.4 KiB | 2025-10-16 17:15:36 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3.1 KiB | 2025-10-16 17:15:36 |
| budgie-session-0.9.1-r0.apk | 125.4 KiB | 2025-10-16 17:15:36 |
| magpie-dev-0.9.4-r0.apk | 246.0 KiB | 2025-10-16 17:15:37 |
| magpie-lang-0.9.4-r0.apk | 849.2 KiB | 2025-10-16 17:15:37 |
| magpie-0.9.4-r0.apk | 1.9 MiB | 2025-10-16 17:15:37 |
| gsimplecal-doc-2.5.2-r0.apk | 5.5 KiB | 2025-10-16 18:48:35 |
| gsimplecal-2.5.2-r0.apk | 16.8 KiB | 2025-10-16 18:48:35 |
| py3-libacl-0.7.3-r0.apk | 26.2 KiB | 2025-10-16 21:59:50 |
| py3-vdf-pyc-3.4-r2.apk | 16.3 KiB | 2025-10-16 21:59:50 |
| py3-vdf-3.4-r2.apk | 10.9 KiB | 2025-10-16 21:59:50 |
| py3-msldap-examples-0.5.15-r2.apk | 18.1 KiB | 2025-10-17 16:43:09 |
| py3-msldap-0.5.15-r2.apk | 126.4 KiB | 2025-10-17 16:43:09 |
| py3-msldap-pyc-0.5.15-r2.apk | 329.5 KiB | 2025-10-17 16:43:09 |
| perl-freezethaw-0.5001-r3.apk | 9.6 KiB | 2025-10-18 10:33:16 |
| perl-freezethaw-doc-0.5001-r3.apk | 5.4 KiB | 2025-10-18 10:33:16 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 170.1 KiB | 2025-10-18 21:06:00 |
| gnome-mahjongg-49.0.1-r0.apk | 2.4 MiB | 2025-10-18 21:06:00 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 1.9 KiB | 2025-10-18 21:06:00 |
| planarity-dev-4.0.1.0-r0.apk | 22.9 KiB | 2025-10-19 05:37:38 |
| planarity-doc-4.0.1.0-r0.apk | 12.8 KiB | 2025-10-19 05:37:38 |
| planarity-4.0.1.0-r0.apk | 25.5 KiB | 2025-10-19 05:37:38 |
| planarity-libs-4.0.1.0-r0.apk | 88.3 KiB | 2025-10-19 05:37:38 |
| mediastreamer2-5.3.112-r1.apk | 387.9 KiB | 2025-10-19 09:50:15 |
| mediastreamer2-dev-5.3.112-r1.apk | 109.4 KiB | 2025-10-19 09:50:15 |
| mediastreamer2-doc-5.3.112-r1.apk | 107.5 KiB | 2025-10-19 09:50:15 |
| fast-double-parser-0.8.1-r0.apk | 25.1 KiB | 2025-10-20 10:33:06 |
| organicmaps-2025.09.05.1-r0.apk | 127.3 MiB | 2025-10-20 10:33:17 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 3.7 KiB | 2025-10-20 13:52:00 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 15.5 KiB | 2025-10-20 13:52:00 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 28.3 KiB | 2025-10-20 13:52:00 |
| py3-prctl-1.8.1-r0.apk | 13.2 KiB | 2025-10-20 13:52:01 |
| pure-1.23.0-r0.apk | 18.1 KiB | 2025-10-20 13:52:01 |
| pure-doc-1.23.0-r0.apk | 7.7 KiB | 2025-10-20 13:52:01 |
| py3-prctl-pyc-1.8.1-r0.apk | 7.0 KiB | 2025-10-20 13:52:01 |
| openocd-esp32-0_git20250707-r2.apk | 1.9 MiB | 2025-10-20 19:48:50 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3.0 KiB | 2025-10-20 19:48:50 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3.2 KiB | 2025-10-20 19:48:50 |
| openocd-git-0_git20251018-r1.apk | 1.7 MiB | 2025-10-20 19:48:50 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1.3 KiB | 2025-10-20 19:48:50 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3.4 KiB | 2025-10-20 19:48:50 |
| openocd-git-dbg-0_git20251018-r1.apk | 4.2 MiB | 2025-10-20 19:48:51 |
| openocd-git-dev-0_git20251018-r1.apk | 3.1 KiB | 2025-10-20 19:48:51 |
| openocd-git-udev-0_git20251018-r1.apk | 3.3 KiB | 2025-10-20 19:48:51 |
| openocd-git-doc-0_git20251018-r1.apk | 3.0 KiB | 2025-10-20 19:48:51 |
| pwvucontrol-dbg-0.5.0-r0.apk | 1.9 MiB | 2025-10-20 19:58:21 |
| pwvucontrol-lang-0.5.0-r0.apk | 11.1 KiB | 2025-10-20 19:58:21 |
| pwvucontrol-0.5.0-r0.apk | 470.0 KiB | 2025-10-20 19:58:21 |
| ruby-byebug-12.0.0-r0.apk | 130.2 KiB | 2025-10-21 04:13:19 |
| ruby-crack-doc-1.0.1-r0.apk | 2.0 KiB | 2025-10-21 04:15:10 |
| ruby-crack-1.0.1-r0.apk | 2.1 KiB | 2025-10-21 04:15:10 |
| ruby-hashdiff-1.2.1-r0.apk | 8.7 KiB | 2025-10-21 04:19:00 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2.0 KiB | 2025-10-21 04:19:00 |
| pfetch-doc-1.9.4-r0.apk | 5.5 KiB | 2025-10-21 15:00:17 |
| pfetch-1.9.4-r0.apk | 23.0 KiB | 2025-10-21 15:00:17 |
| game-devices-udev-0.25-r0.apk | 6.6 KiB | 2025-10-23 05:04:26 |
| xed-3.8.4-r0.apk | 1.1 MiB | 2025-10-23 07:55:49 |
| xed-dev-3.8.4-r0.apk | 13.4 KiB | 2025-10-23 07:55:49 |
| xed-python-3.8.4-r0.apk | 24.2 KiB | 2025-10-23 07:55:49 |
| xed-doc-3.8.4-r0.apk | 970.6 KiB | 2025-10-23 07:55:49 |
| xed-lang-3.8.4-r0.apk | 2.1 MiB | 2025-10-23 07:55:49 |
| php82-pecl-memcached-3.4.0-r0.apk | 47.3 KiB | 2025-10-24 02:16:07 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 14.8 KiB | 2025-10-24 02:16:07 |
| php82-pecl-event-3.1.4-r0.apk | 51.4 KiB | 2025-10-24 02:16:07 |
| php82-pecl-mongodb-2.1.4-r0.apk | 830.9 KiB | 2025-10-24 02:16:07 |
| php82-pecl-psr-1.2.0-r1.apk | 16.9 KiB | 2025-10-24 02:16:07 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 21.0 KiB | 2025-10-24 02:16:07 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 31.7 KiB | 2025-10-24 02:16:07 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 37.8 KiB | 2025-10-24 02:16:07 |
| php82-pecl-ast-1.1.3-r0.apk | 19.3 KiB | 2025-10-24 02:16:07 |
| php82-pecl-decimal-1.5.0-r1.apk | 18.8 KiB | 2025-10-24 02:16:07 |
| php82-pecl-ssh2-1.4.1-r0.apk | 27.6 KiB | 2025-10-24 02:16:07 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 13.5 KiB | 2025-10-24 02:16:07 |
| php82-pecl-msgpack-3.0.0-r0.apk | 25.8 KiB | 2025-10-24 02:16:07 |
| php82-pecl-mailparse-3.1.9-r0.apk | 24.1 KiB | 2025-10-24 02:16:07 |
| php82-pecl-pcov-1.0.12-r0.apk | 9.9 KiB | 2025-10-24 02:16:07 |
| php82-pecl-memcache-8.2-r2.apk | 43.1 KiB | 2025-10-24 02:16:07 |
| php82-pecl-ds-1.6.0-r0.apk | 63.7 KiB | 2025-10-24 02:16:07 |
| php82-pecl-protobuf-4.32.1-r0.apk | 149.7 KiB | 2025-10-24 02:16:07 |
| php82-pecl-lzf-1.7.0-r0.apk | 7.5 KiB | 2025-10-24 02:16:07 |
| php82-pecl-timezonedb-2025.2-r0.apk | 189.1 KiB | 2025-10-24 02:16:08 |
| php82-pecl-uuid-1.3.0-r0.apk | 6.7 KiB | 2025-10-24 02:16:08 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 799.6 KiB | 2025-10-24 02:16:08 |
| php82-pecl-zstd-0.15.2-r0.apk | 17.1 KiB | 2025-10-24 02:16:08 |
| php82-pecl-xhprof-2.3.10-r0.apk | 13.0 KiB | 2025-10-24 02:16:08 |
| php82-pecl-vips-1.0.13-r0.apk | 16.6 KiB | 2025-10-24 02:16:08 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6.7 KiB | 2025-10-24 02:16:08 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 232.4 KiB | 2025-10-24 02:16:08 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9.3 KiB | 2025-10-24 02:16:08 |
| sstp-client-1.0.20-r3.apk | 41.9 KiB | 2025-10-24 02:38:20 |
| sstp-client-doc-1.0.20-r3.apk | 4.6 KiB | 2025-10-24 02:38:20 |
| sstp-client-dev-1.0.20-r3.apk | 5.2 KiB | 2025-10-24 02:38:20 |
| ruby-mail-2.9.0-r0.apk | 394.1 KiB | 2025-10-24 12:36:44 |
| perl-module-generic-1.1.3-r0.apk | 323.5 KiB | 2025-10-24 17:59:33 |
| perl-module-generic-doc-1.1.3-r0.apk | 262.1 KiB | 2025-10-24 17:59:33 |
| serialdv-dev-1.1.5-r0.apk | 5.1 KiB | 2025-10-24 20:26:55 |
| serialdv-libs-1.1.5-r0.apk | 73.2 KiB | 2025-10-24 20:26:55 |
| serialdv-1.1.5-r0.apk | 6.7 KiB | 2025-10-24 20:26:55 |
| py3-yosys-0.57-r0.apk | 556.3 KiB | 2025-10-24 22:33:31 |
| yosys-dev-0.57-r0.apk | 277.0 KiB | 2025-10-24 22:33:34 |
| yosys-0.57-r0.apk | 25.1 MiB | 2025-10-24 22:33:34 |
| schismtracker-20251014-r0.apk | 465.4 KiB | 2025-10-24 23:51:24 |
| schismtracker-doc-20251014-r0.apk | 6.1 KiB | 2025-10-24 23:51:24 |
| ocaml-reason-3.8.2-r2.apk | 15.5 MiB | 2025-10-25 05:11:22 |
| ocaml-reason-dev-3.8.2-r2.apk | 29.2 MiB | 2025-10-25 05:11:24 |
| reason-3.8.2-r2.apk | 19.8 MiB | 2025-10-25 05:11:26 |
| reason-rtop-3.8.2-r2.apk | 24.3 MiB | 2025-10-25 05:11:29 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2.0 KiB | 2025-10-25 09:58:28 |
| pikchr-cmd-1.0.0-r0.apk | 46.6 KiB | 2025-10-25 09:58:28 |
| lua5.2-ubus-2025.10.17-r0.apk | 9.7 KiB | 2025-10-25 13:01:22 |
| ubus-dev-2025.10.17-r0.apk | 5.3 KiB | 2025-10-25 13:01:22 |
| lua5.1-ubus-2025.10.17-r0.apk | 9.7 KiB | 2025-10-25 13:01:22 |
| ubus-2025.10.17-r0.apk | 38.0 KiB | 2025-10-25 13:01:22 |
| py3-flake8-isort-7.0.0-r0.apk | 17.7 KiB | 2025-10-25 20:15:04 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5.1 KiB | 2025-10-25 20:15:04 |
| lomiri-settings-components-1.1.3-r0.apk | 222.3 KiB | 2025-10-25 20:20:18 |
| lomiri-api-dev-0.2.3-r0.apk | 31.9 KiB | 2025-10-25 20:20:18 |
| lomiri-api-0.2.3-r0.apk | 33.5 KiB | 2025-10-25 20:20:18 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 107.4 KiB | 2025-10-25 20:20:18 |
| lomiri-action-api-dev-1.2.1-r0.apk | 4.7 KiB | 2025-10-25 20:20:18 |
| lomiri-action-api-1.2.1-r0.apk | 83.3 KiB | 2025-10-25 20:20:18 |
| wl-clip-persist-0.5.0-r0.apk | 976.8 KiB | 2025-10-26 12:31:18 |
| dooit-pyc-3.3.3-r0.apk | 102.9 KiB | 2025-10-27 08:52:52 |
| py3-textual-5.3.0-r0.apk | 611.5 KiB | 2025-10-27 08:52:52 |
| dooit-3.3.3-r0.apk | 45.6 KiB | 2025-10-27 08:52:52 |
| ruri-3.9.3-r0.apk | 111.1 KiB | 2025-10-27 08:52:53 |
| ruri-doc-3.9.3-r0.apk | 2.0 KiB | 2025-10-27 08:52:53 |
| py3-uc-micro-py-1.0.3-r0.apk | 6.3 KiB | 2025-10-27 08:52:53 |
| redlib-0.36.0-r0.apk | 2.9 MiB | 2025-10-27 08:52:53 |
| py3-textual-pyc-5.3.0-r0.apk | 1.2 MiB | 2025-10-27 08:52:53 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4.3 KiB | 2025-10-27 08:52:53 |
| xtl-0.8.1-r0.apk | 89.5 KiB | 2025-10-27 13:42:12 |
| tealdeer-bash-completion-1.8.0-r0.apk | 1.8 KiB | 2025-10-28 02:22:36 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2.0 KiB | 2025-10-28 02:22:36 |
| py3-igraph-dev-1.0.0-r0.apk | 2.3 KiB | 2025-10-28 02:22:36 |
| py3-igraph-1.0.0-r0.apk | 414.9 KiB | 2025-10-28 02:22:36 |
| rankwidth-static-0.9-r4.apk | 4.9 KiB | 2025-10-28 02:22:36 |
| py3-igraph-pyc-1.0.0-r0.apk | 374.1 KiB | 2025-10-28 02:22:36 |
| tealdeer-1.8.0-r0.apk | 865.0 KiB | 2025-10-28 02:22:36 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2.1 KiB | 2025-10-28 02:22:36 |
| rankwidth-libs-0.9-r4.apk | 5.5 KiB | 2025-10-28 02:22:36 |
| rankwidth-doc-0.9-r4.apk | 2.7 KiB | 2025-10-28 02:22:36 |
| rankwidth-dev-0.9-r4.apk | 2.7 KiB | 2025-10-28 02:22:36 |
| rankwidth-0.9-r4.apk | 6.7 KiB | 2025-10-28 02:22:36 |
| tailspin-5.5.0-r0.apk | 1.2 MiB | 2025-10-28 06:58:36 |
| tailspin-doc-5.5.0-r0.apk | 2.7 KiB | 2025-10-28 06:58:36 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2.2 KiB | 2025-10-28 06:58:36 |
| tailspin-bash-completion-5.5.0-r0.apk | 2.0 KiB | 2025-10-28 06:58:36 |
| tailspin-fish-completion-5.5.0-r0.apk | 1.9 KiB | 2025-10-28 06:58:36 |
| cargo-update-18.0.0-r0.apk | 1.2 MiB | 2025-10-28 07:26:53 |
| cargo-update-doc-18.0.0-r0.apk | 8.2 KiB | 2025-10-28 07:26:53 |
| gprbuild-25.0.0-r0.apk | 13.1 MiB | 2025-10-29 02:57:17 |
| i3bar-river-openrc-1.1.0-r1.apk | 1.5 KiB | 2025-10-29 22:52:46 |
| i3bar-river-systemd-1.1.0-r1.apk | 1.6 KiB | 2025-10-29 22:52:46 |
| i3bar-river-1.1.0-r1.apk | 555.3 KiB | 2025-10-29 22:52:46 |
| cargo-show-asm-0.2.53-r0.apk | 893.4 KiB | 2025-10-30 00:23:58 |
| cargo-show-asm-doc-0.2.53-r0.apk | 9.7 KiB | 2025-10-30 00:23:58 |
| cocogitto-6.5.0-r0.apk | 1.9 MiB | 2025-11-04 15:22:28 |
| cocogitto-doc-6.5.0-r0.apk | 39.2 KiB | 2025-11-04 15:22:28 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 2.9 KiB | 2025-11-04 15:22:28 |
| doasedit-1.0.9-r0.apk | 3.2 KiB | 2025-11-04 15:22:28 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3.5 KiB | 2025-11-04 15:22:28 |
| cocogitto-bash-completion-6.5.0-r0.apk | 2.9 KiB | 2025-11-04 15:22:28 |
| gede-2.22.1-r0.apk | 439.6 KiB | 2025-11-04 15:22:29 |
| gmsh-4.15.0-r0.apk | 9.6 MiB | 2025-11-04 15:22:49 |
| libabigail-2.8-r0.apk | 1.0 MiB | 2025-11-04 15:23:04 |
| gmsh-doc-4.15.0-r0.apk | 1.9 MiB | 2025-11-04 15:23:04 |
| libabigail-bash-completion-2.8-r0.apk | 2.6 KiB | 2025-11-04 15:23:04 |
| gmsh-py-4.15.0-r0.apk | 6.5 KiB | 2025-11-04 15:23:04 |
| gmsh-dbg-4.15.0-r0.apk | 153.2 MiB | 2025-11-04 15:23:04 |
| libabigail-dev-2.8-r0.apk | 1.6 MiB | 2025-11-04 15:23:05 |
| libabigail-doc-2.8-r0.apk | 76.6 KiB | 2025-11-04 15:23:05 |
| libabigail-tools-2.8-r0.apk | 128.3 KiB | 2025-11-04 15:23:05 |
| ovos-core-2.1.0-r0.apk | 48.7 KiB | 2025-11-04 15:23:10 |
| py3-asysocks-examples-0.2.18-r0.apk | 35.4 KiB | 2025-11-04 15:23:10 |
| py3-aesedb-examples-0.1.8-r0.apk | 3.2 KiB | 2025-11-04 15:23:10 |
| py3-aesedb-pyc-0.1.8-r0.apk | 74.7 KiB | 2025-11-04 15:23:10 |
| py3-aesedb-0.1.8-r0.apk | 34.2 KiB | 2025-11-04 15:23:10 |
| py3-aiosmb-examples-0.4.14-r0.apk | 37.3 KiB | 2025-11-04 15:23:10 |
| py3-aiowinreg-0.0.13-r0.apk | 21.5 KiB | 2025-11-04 15:23:10 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1.2 MiB | 2025-11-04 15:23:10 |
| py3-asysocks-pyc-0.2.18-r0.apk | 319.3 KiB | 2025-11-04 15:23:10 |
| py3-asyauth-pyc-0.0.23-r0.apk | 185.5 KiB | 2025-11-04 15:23:10 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 24.4 KiB | 2025-11-04 15:23:10 |
| py3-aiosmb-0.4.14-r0.apk | 585.2 KiB | 2025-11-04 15:23:10 |
| postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk | 48.3 KiB | 2025-11-04 15:23:10 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 43.7 KiB | 2025-11-04 15:23:10 |
| ovos-core-pyc-2.1.0-r0.apk | 58.0 KiB | 2025-11-04 15:23:10 |
| py3-asysocks-0.2.18-r0.apk | 88.0 KiB | 2025-11-04 15:23:10 |
| py3-asyauth-0.0.23-r0.apk | 83.8 KiB | 2025-11-04 15:23:10 |
| py3-unidns-pyc-0.0.4-r0.apk | 24.7 KiB | 2025-11-04 15:23:11 |
| py3-minikerberos-0.4.9-r0.apk | 122.9 KiB | 2025-11-04 15:23:11 |
| py3-unidns-0.0.4-r0.apk | 14.1 KiB | 2025-11-04 15:23:11 |
| py3-unicrypto-0.0.12-r0.apk | 58.3 KiB | 2025-11-04 15:23:11 |
| py3-unidns-examples-0.0.4-r0.apk | 2.4 KiB | 2025-11-04 15:23:11 |
| py3-owslib-pyc-0.35.0-r0.apk | 424.6 KiB | 2025-11-04 15:23:11 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17.1 KiB | 2025-11-04 15:23:11 |
| py3-owslib-0.35.0-r0.apk | 195.0 KiB | 2025-11-04 15:23:11 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 287.0 KiB | 2025-11-04 15:23:11 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 91.9 KiB | 2025-11-04 15:23:11 |
| pypy-7.3.19-r0.apk | 15.5 MiB | 2025-11-04 15:23:12 |
| pypy-tkinter-7.3.19-r0.apk | 447.1 KiB | 2025-11-04 15:23:14 |
| pypy-dev-7.3.19-r0.apk | 74.4 KiB | 2025-11-04 15:23:14 |
| pypy-bootstrap-7.3.19-r0.apk | 16.2 MiB | 2025-11-04 15:23:14 |
| reprotest-pyc-0.7.32-r0.apk | 102.7 KiB | 2025-11-04 15:23:15 |
| snapraid-doc-13.0-r0.apk | 18.7 KiB | 2025-11-04 15:23:15 |
| snapraid-13.0-r0.apk | 280.0 KiB | 2025-11-04 15:23:15 |
| reprotest-0.7.32-r0.apk | 80.0 KiB | 2025-11-04 15:23:15 |
| tinygltf-2.9.7-r0.apk | 143.9 KiB | 2025-11-04 15:23:18 |
| syncwhen-0.3-r0.apk | 5.0 KiB | 2025-11-04 15:23:18 |
| wbg-1.3.0-r1.apk | 41.2 KiB | 2025-11-04 15:23:18 |
| syncwhen-systemd-0.3-r0.apk | 1.5 KiB | 2025-11-04 15:23:18 |
| tinygltf-dev-2.9.7-r0.apk | 56.9 KiB | 2025-11-04 15:23:18 |
| wiki-tui-doc-0.9.1-r0.apk | 4.3 KiB | 2025-11-04 15:23:19 |
| wiki-tui-0.9.1-r0.apk | 2.2 MiB | 2025-11-04 15:23:19 |
| xonsh-0.19.9-r0.apk | 588.7 KiB | 2025-11-04 15:23:19 |
| xonsh-pyc-0.19.9-r0.apk | 1.0 MiB | 2025-11-04 15:23:19 |
| flare-engine-doc-1.14-r1.apk | 2.2 KiB | 2025-11-05 14:58:12 |
| flare-engine-1.14-r1.apk | 4.6 MiB | 2025-11-05 14:58:12 |
| makedumpfile-openrc-1.7.8-r0.apk | 2.9 KiB | 2025-11-05 15:07:35 |
| lspmux-0.3.0-r0.apk | 1.0 MiB | 2025-11-05 15:07:35 |
| lspmux-doc-0.3.0-r0.apk | 6.4 KiB | 2025-11-05 15:07:35 |
| makedumpfile-1.7.8-r0.apk | 174.5 KiB | 2025-11-05 15:07:35 |
| makedumpfile-doc-1.7.8-r0.apk | 23.4 KiB | 2025-11-05 15:07:35 |
| wayfarer-1.4.0-r0.apk | 66.2 KiB | 2025-11-05 18:53:26 |
| php82-pecl-redis-6.3.0-r0.apk | 192.7 KiB | 2025-11-07 16:50:15 |
| php81-pecl-redis-6.3.0-r0.apk | 191.5 KiB | 2025-11-07 16:50:15 |
| php83-pecl-ev-1.2.2-r0.apk | 42.3 KiB | 2025-11-07 17:26:04 |
| php85-pecl-ev-1.2.2-r0.apk | 42.4 KiB | 2025-11-07 17:26:04 |
| php84-pecl-ev-1.2.2-r0.apk | 42.4 KiB | 2025-11-07 17:26:04 |
| libntl-doc-11.6.0-r0.apk | 365.3 KiB | 2025-11-08 07:16:30 |
| libntl-dev-11.6.0-r0.apk | 157.2 KiB | 2025-11-08 07:16:30 |
| libntl-11.6.0-r0.apk | 1.3 MiB | 2025-11-08 07:16:30 |
| libntl-static-11.6.0-r0.apk | 1.9 MiB | 2025-11-08 07:16:31 |
| gcli-doc-2.9.1-r0.apk | 37.4 KiB | 2025-11-09 16:40:17 |
| gcli-2.9.1-r0.apk | 134.9 KiB | 2025-11-09 16:40:17 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21.2 KiB | 2025-11-09 18:54:43 |
| py3-mistune1-0.8.4-r6.apk | 14.2 KiB | 2025-11-09 18:54:43 |
| eww-0.6.0-r0.apk | 3.2 MiB | 2025-11-09 19:43:34 |
| py3-flask-security-pyc-5.6.2-r0.apk | 227.0 KiB | 2025-11-10 03:20:38 |
| py3-minio-pyc-7.2.18-r0.apk | 154.8 KiB | 2025-11-10 03:20:38 |
| py3-flask-security-5.6.2-r0.apk | 296.5 KiB | 2025-11-10 03:20:38 |
| py3-minio-7.2.18-r0.apk | 74.6 KiB | 2025-11-10 03:20:38 |
| libspatialindex-2.1.0-r1.apk | 305.8 KiB | 2025-11-10 05:30:00 |
| pympress-doc-1.8.6-r0.apk | 74.2 KiB | 2025-11-10 05:30:00 |
| pympress-pyc-1.8.6-r0.apk | 181.6 KiB | 2025-11-10 05:30:00 |
| pympress-lang-1.8.6-r0.apk | 58.5 KiB | 2025-11-10 05:30:00 |
| pympress-1.8.6-r0.apk | 179.3 KiB | 2025-11-10 05:30:00 |
| libspatialindex-dev-2.1.0-r1.apk | 21.2 KiB | 2025-11-10 05:30:00 |
| py3-ciso8601-2.3.3-r0.apk | 16.5 KiB | 2025-11-10 17:32:43 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk | 1.6 KiB | 2025-11-11 19:23:27 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 18.5 KiB | 2025-11-11 19:23:27 |
| perl-badger-doc-0.16-r1.apk | 262.5 KiB | 2025-11-11 23:14:20 |
| perl-badger-0.16-r1.apk | 252.9 KiB | 2025-11-11 23:14:20 |
| update-sysfs-2.1.1_p7-r0.apk | 2.9 KiB | 2025-11-12 10:45:32 |
| onionshare-2.6.3-r1.apk | 179.4 KiB | 2025-11-14 22:59:06 |
| onionshare-desktop-2.6.3-r1.apk | 1.3 MiB | 2025-11-14 22:59:07 |
| php81-pecl-yaml-2.3.0-r0.apk | 19.4 KiB | 2025-11-14 22:59:07 |
| onionshare-pyc-2.6.3-r1.apk | 240.2 KiB | 2025-11-14 22:59:07 |
| php82-pecl-yaml-2.3.0-r0.apk | 19.5 KiB | 2025-11-14 22:59:07 |
| rio-terminfo-0.2.35-r0.apk | 3.2 KiB | 2025-11-14 22:59:08 |
| rio-doc-0.2.35-r0.apk | 2.0 KiB | 2025-11-14 22:59:08 |
| rio-0.2.35-r0.apk | 10.5 MiB | 2025-11-14 22:59:08 |
| davmail-6.5.1-r0.apk | 8.3 MiB | 2025-11-15 00:54:06 |
| petitboot-dbg-1.15-r0.apk | 582.4 KiB | 2025-11-15 00:54:10 |
| petitboot-doc-1.15-r0.apk | 7.8 KiB | 2025-11-15 00:54:10 |
| petitboot-1.15-r0.apk | 213.4 KiB | 2025-11-15 00:54:10 |
| runst-doc-0.2.0-r0.apk | 7.6 KiB | 2025-11-15 00:54:10 |
| runst-0.2.0-r0.apk | 2.0 MiB | 2025-11-15 00:54:10 |
| lomiri-docviewer-app-lang-3.1.2-r0.apk | 137.3 KiB | 2025-11-15 10:36:38 |
| lomiri-docviewer-app-doc-3.1.2-r0.apk | 1.8 KiB | 2025-11-15 10:36:38 |
| lomiri-docviewer-app-3.1.2-r0.apk | 234.1 KiB | 2025-11-15 10:36:38 |
| ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk | 30.6 KiB | 2025-11-17 10:47:04 |
| ghc-release-cabal-3.17.0.0_pre20251116-r0.apk | 11.1 MiB | 2025-11-17 10:47:04 |
| libvalkey-dev-0.2.1-r0.apk | 334.5 KiB | 2025-11-17 11:56:51 |
| libvalkey-tls-0.2.1-r0.apk | 6.8 KiB | 2025-11-17 11:56:51 |
| libvalkey-0.2.1-r0.apk | 69.3 KiB | 2025-11-17 11:56:51 |
| 3proxy-0.9.5-r1.apk | 436.5 KiB | 2025-11-17 13:19:59 |
| 3proxy-systemd-0.9.5-r1.apk | 1.6 KiB | 2025-11-17 13:19:59 |
| 3proxy-doc-0.9.5-r1.apk | 26.1 KiB | 2025-11-17 13:19:59 |
| bootchart2-0.14.9-r1.apk | 137.0 KiB | 2025-11-17 13:19:59 |
| 3proxy-openrc-0.9.5-r1.apk | 1.4 KiB | 2025-11-17 13:19:59 |
| bootchart2-systemd-0.14.9-r1.apk | 2.0 KiB | 2025-11-17 13:19:59 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1.5 KiB | 2025-11-17 13:20:00 |
| lomiri-location-service-lang-3.3.0-r5.apk | 25.5 KiB | 2025-11-17 13:20:00 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14.0 KiB | 2025-11-17 13:20:00 |
| lomiri-location-service-doc-3.3.0-r5.apk | 2.6 KiB | 2025-11-17 13:20:00 |
| lomiri-indicator-location-25.4.22-r1.apk | 22.9 KiB | 2025-11-17 13:20:00 |
| lomiri-location-service-dev-3.3.0-r5.apk | 29.6 KiB | 2025-11-17 13:20:00 |
| lomiri-location-service-systemd-3.3.0-r5.apk | 1.9 KiB | 2025-11-17 13:20:00 |
| mediascanner2-0.118-r4.apk | 281.7 KiB | 2025-11-17 13:20:00 |
| pam-pkcs11-0.6.13-r1.apk | 276.6 KiB | 2025-11-17 13:20:00 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1.5 KiB | 2025-11-17 13:20:00 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27.0 KiB | 2025-11-17 13:20:00 |
| mediascanner2-systemd-0.118-r4.apk | 1.5 KiB | 2025-11-17 13:20:00 |
| lomiri-location-service-3.3.0-r5.apk | 2.2 MiB | 2025-11-17 13:20:00 |
| nzbget-25.4-r0.apk | 4.9 MiB | 2025-11-17 14:41:39 |
| nzbget-openrc-25.4-r0.apk | 1.8 KiB | 2025-11-17 14:41:39 |
| perl-xml-feed-1.0.0-r0.apk | 14.1 KiB | 2025-11-17 18:34:20 |
| perl-xml-feed-doc-1.0.0-r0.apk | 12.6 KiB | 2025-11-17 18:34:20 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 102.1 KiB | 2025-11-18 20:40:25 |
| gtimelog-0.12_git20251114-r0.apk | 219.0 KiB | 2025-11-18 20:40:25 |
| phpactor-2025.10.17.0-r0.apk | 3.6 MiB | 2025-11-19 03:58:12 |
| qt5ct-dev-1.9-r0.apk | 1.3 KiB | 2025-11-19 04:41:16 |
| qt5ct-1.9-r0.apk | 233.3 KiB | 2025-11-19 04:41:16 |
| pdfcrack-0.21-r0.apk | 35.3 KiB | 2025-11-19 08:10:03 |
| librespot-0.8.0-r0.apk | 2.5 MiB | 2025-11-20 07:59:48 |
| librespot-openrc-0.8.0-r0.apk | 1.6 KiB | 2025-11-20 07:59:48 |
| lutgen-bash-completion-1.0.1-r0.apk | 1.5 KiB | 2025-11-20 07:59:49 |
| py3-telegram-bot-pyc-22.5-r0.apk | 802.2 KiB | 2025-11-20 07:59:49 |
| lutgen-fish-completion-1.0.1-r0.apk | 1.5 KiB | 2025-11-20 07:59:49 |
| lutgen-zsh-completion-1.0.1-r0.apk | 1.5 KiB | 2025-11-20 07:59:49 |
| py3-telegram-bot-22.5-r0.apk | 497.2 KiB | 2025-11-20 07:59:49 |
| lutgen-doc-1.0.1-r0.apk | 4.2 KiB | 2025-11-20 07:59:49 |
| lutgen-1.0.1-r0.apk | 1.9 MiB | 2025-11-20 07:59:49 |
| py3-sssd-pyc-2.11.1-r2.apk | 48.4 KiB | 2025-11-20 08:42:42 |
| sssd-dev-2.11.1-r2.apk | 14.5 KiB | 2025-11-20 08:42:42 |
| sssd-openrc-2.11.1-r2.apk | 1.4 KiB | 2025-11-20 08:42:42 |
| py3-sssd-2.11.1-r2.apk | 62.6 KiB | 2025-11-20 08:42:42 |
| sssd-2.11.1-r2.apk | 2.1 MiB | 2025-11-20 08:42:42 |
| barman-3.16.2-r0.apk | 377.9 KiB | 2025-11-20 09:16:30 |
| barman-bash-completion-3.16.2-r0.apk | 1.4 KiB | 2025-11-20 09:16:30 |
| barman-doc-3.16.2-r0.apk | 88.6 KiB | 2025-11-20 09:16:30 |
| barman-pyc-3.16.2-r0.apk | 594.4 KiB | 2025-11-20 09:16:30 |
| lxqt-wayland-session-doc-0.3.0-r0.apk | 28.7 KiB | 2025-11-20 11:21:05 |
| lxqt-wayland-session-0.3.0-r0.apk | 338.7 KiB | 2025-11-20 11:21:05 |
| volatility3-pyc-2.26.2-r0.apk | 1.2 MiB | 2025-11-20 13:07:48 |
| volatility3-2.26.2-r0.apk | 1.0 MiB | 2025-11-20 13:07:48 |
| perl-net-patricia-1.24-r0.apk | 22.2 KiB | 2025-11-20 15:48:47 |
| perl-net-patricia-doc-1.24-r0.apk | 6.0 KiB | 2025-11-20 15:48:47 |
| perl-net-amqp-rabbitmq-2.40014-r1.apk | 79.6 KiB | 2025-11-20 23:48:09 |
| perl-net-amqp-rabbitmq-doc-2.40014-r1.apk | 10.6 KiB | 2025-11-20 23:48:09 |
| php82-pecl-maxminddb-1.13.0-r0.apk | 8.2 KiB | 2025-11-21 00:43:31 |
| php81-pecl-maxminddb-1.13.0-r0.apk | 8.2 KiB | 2025-11-21 00:43:31 |
| ruby-minitest-server-1.0.9-r0.apk | 5.1 KiB | 2025-11-21 15:41:06 |
| hyfetch-2.0.5-r0.apk | 911.3 KiB | 2025-11-21 17:34:40 |
| hyfetch-doc-2.0.5-r0.apk | 19.3 KiB | 2025-11-21 17:34:40 |
| hyfetch-bash-completion-2.0.5-r0.apk | 3.1 KiB | 2025-11-21 17:34:40 |
| hyfetch-zsh-completion-2.0.5-r0.apk | 2.3 KiB | 2025-11-21 17:34:40 |
| opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk | 68.2 KiB | 2025-11-22 13:37:51 |
| opentelemetry-cpp-dev-1.24.0-r0.apk | 521.0 KiB | 2025-11-22 13:37:51 |
| opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk | 49.4 KiB | 2025-11-22 13:37:51 |
| opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk | 53.7 KiB | 2025-11-22 13:37:51 |
| opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk | 95.5 KiB | 2025-11-22 13:37:51 |
| opentelemetry-cpp-1.24.0-r0.apk | 659.5 KiB | 2025-11-22 13:37:51 |
| elementary-feedback-lang-8.1.0-r0.apk | 48.2 KiB | 2025-11-22 15:39:12 |
| elementary-feedback-8.1.0-r0.apk | 47.4 KiB | 2025-11-22 15:39:12 |
| tinymist-0.14.2-r0.apk | 20.7 MiB | 2025-11-22 16:39:24 |
| typlite-0.14.2-r0.apk | 15.9 MiB | 2025-11-22 16:39:26 |
| codec2-dev-1.2.0-r1.apk | 15.2 KiB | 2025-11-22 18:51:54 |
| codec2-1.2.0-r1.apk | 676.8 KiB | 2025-11-22 18:51:54 |
| py3-dbus-fast-doc-3.1.2-r0.apk | 6.0 KiB | 2025-11-23 21:16:17 |
| py3-dbus-fast-pyc-3.1.2-r0.apk | 129.9 KiB | 2025-11-23 21:16:17 |
| py3-dbus-fast-3.1.2-r0.apk | 841.2 KiB | 2025-11-23 21:16:17 |
| py3-knowit-pyc-0.5.11-r0.apk | 61.2 KiB | 2025-11-23 23:12:59 |
| py3-trakit-pyc-0.2.5-r0.apk | 16.4 KiB | 2025-11-23 23:12:59 |
| py3-trakit-0.2.5-r0.apk | 19.0 KiB | 2025-11-23 23:12:59 |
| py3-knowit-0.5.11-r0.apk | 31.4 KiB | 2025-11-23 23:12:59 |
| subliminal-pyc-2.4.0-r0.apk | 178.7 KiB | 2025-11-23 23:12:59 |
| subliminal-2.4.0-r0.apk | 89.5 KiB | 2025-11-23 23:12:59 |
| tintin-2.02.51-r0.apk | 1.8 MiB | 2025-11-24 12:42:18 |
| xfce4-panel-profiles-lang-1.1.1-r1.apk | 53.2 KiB | 2025-11-24 12:54:22 |
| xfce4-panel-profiles-1.1.1-r1.apk | 57.3 KiB | 2025-11-24 12:54:22 |
| xfce4-panel-profiles-doc-1.1.1-r1.apk | 19.7 KiB | 2025-11-24 12:54:22 |
| guake-pyc-3.10.1-r0.apk | 185.9 KiB | 2025-11-24 18:08:25 |
| guake-3.10.1-r0.apk | 304.2 KiB | 2025-11-24 18:08:25 |
| guake-lang-3.10.1-r0.apk | 194.0 KiB | 2025-11-24 18:08:25 |
| php85-pecl-solr-2.9.1-r0.apk | 90.6 KiB | 2025-11-25 05:11:09 |
| php84-pecl-solr-2.9.1-r0.apk | 90.4 KiB | 2025-11-25 05:11:09 |
| py3-trimesh-4.10.0-r0.apk | 675.9 KiB | 2025-11-25 05:23:49 |
| py3-trimesh-pyc-4.10.0-r0.apk | 789.4 KiB | 2025-11-25 05:23:49 |
| qsynth-doc-1.0.3-r0.apk | 4.1 KiB | 2025-11-25 11:02:23 |
| qsynth-1.0.3-r0.apk | 442.7 KiB | 2025-11-25 11:02:23 |
| primecount-7.20-r0.apk | 31.5 KiB | 2025-11-25 19:14:05 |
| primesieve-doc-12.10-r0.apk | 3.8 KiB | 2025-11-25 19:14:06 |
| primecount-libs-7.20-r0.apk | 163.3 KiB | 2025-11-25 19:14:06 |
| primecount-doc-7.20-r0.apk | 4.0 KiB | 2025-11-25 19:14:06 |
| primesieve-libs-12.10-r0.apk | 129.0 KiB | 2025-11-25 19:14:06 |
| primecount-dev-7.20-r0.apk | 2.0 MiB | 2025-11-25 19:14:06 |
| primesieve-dev-12.10-r0.apk | 1.4 MiB | 2025-11-25 19:14:06 |
| primesieve-12.10-r0.apk | 46.7 KiB | 2025-11-25 19:14:06 |
| eclib-libs-20250627-r2.apk | 1.3 MiB | 2025-11-26 08:43:55 |
| eclib-doc-20250627-r2.apk | 27.7 KiB | 2025-11-26 08:43:55 |
| eclib-20250627-r2.apk | 381.9 KiB | 2025-11-26 08:43:55 |
| eclib-dev-20250627-r2.apk | 95.5 KiB | 2025-11-26 08:43:55 |
| eclib-static-20250627-r2.apk | 20.2 MiB | 2025-11-26 08:43:57 |
| normaliz-libs-3.10.4-r3.apk | 3.1 MiB | 2025-11-26 08:43:58 |
| libeantic-dev-2.1.0-r2.apk | 17.2 KiB | 2025-11-26 08:43:58 |
| perl-data-checks-doc-0.11-r0.apk | 8.2 KiB | 2025-11-26 08:43:58 |
| libeantic-2.1.0-r2.apk | 80.9 KiB | 2025-11-26 08:43:58 |
| normaliz-3.10.4-r3.apk | 43.6 KiB | 2025-11-26 08:43:58 |
| flint-dev-3.4.0-r0.apk | 315.6 KiB | 2025-11-26 08:43:58 |
| perl-data-checks-0.11-r0.apk | 25.2 KiB | 2025-11-26 08:43:58 |
| flint-3.4.0-r0.apk | 5.0 MiB | 2025-11-26 08:43:58 |
| normaliz-dev-3.10.4-r3.apk | 72.3 KiB | 2025-11-26 08:43:58 |
| singular-dev-4.4.1_p2-r1.apk | 358.9 KiB | 2025-11-26 08:44:00 |
| singular-4.4.1_p2-r1.apk | 11.6 MiB | 2025-11-26 08:44:00 |
| singular-doc-4.4.1_p2-r1.apk | 1.3 MiB | 2025-11-26 08:44:00 |
| singular-emacs-4.4.1_p2-r1.apk | 101.3 KiB | 2025-11-26 08:44:00 |
| singular-static-4.4.1_p2-r1.apk | 7.1 MiB | 2025-11-26 08:44:01 |
| py3-engineio-doc-4.12.3-r0.apk | 33.2 KiB | 2025-11-27 09:36:24 |
| py3-engineio-pyc-4.12.3-r0.apk | 104.0 KiB | 2025-11-27 09:36:24 |
| py3-engineio-4.12.3-r0.apk | 48.4 KiB | 2025-11-27 09:36:24 |
| xdg-native-messaging-proxy-systemd-0.1.0-r0.apk | 1.5 KiB | 2025-11-27 14:20:05 |
| xdg-native-messaging-proxy-0.1.0-r0.apk | 23.0 KiB | 2025-11-27 14:20:05 |
| py3-markdownify-pyc-1.2.2-r0.apk | 18.0 KiB | 2025-11-27 16:01:24 |
| py3-markdownify-1.2.2-r0.apk | 15.9 KiB | 2025-11-27 16:01:24 |
| php81-pecl-igbinary-3.2.17_rc1-r0.apk | 36.2 KiB | 2025-11-27 21:29:32 |
| php82-pecl-igbinary-3.2.17_rc1-r0.apk | 37.2 KiB | 2025-11-27 21:29:32 |
| php81-pecl-imagick-3.8.1-r0.apk | 109.6 KiB | 2025-11-28 00:07:31 |
| php82-pecl-imagick-3.8.1-r0.apk | 109.6 KiB | 2025-11-28 00:07:31 |
| php81-pecl-imagick-dev-3.8.1-r0.apk | 2.0 KiB | 2025-11-28 00:07:31 |
| php82-pecl-imagick-dev-3.8.1-r0.apk | 2.0 KiB | 2025-11-28 00:07:31 |
| nwg-panel-pyc-0.10.13-r0.apk | 269.5 KiB | 2025-11-28 13:30:07 |
| nwg-panel-0.10.13-r0.apk | 287.8 KiB | 2025-11-28 13:30:07 |
| nwg-panel-doc-0.10.13-r0.apk | 4.2 KiB | 2025-11-28 13:30:07 |
| featherpad-1.6.2-r0.apk | 771.4 KiB | 2025-11-28 19:38:40 |
| featherpad-lang-1.6.2-r0.apk | 484.9 KiB | 2025-11-28 19:38:40 |
| wlroots0.18-dev-0.18.3-r0.apk | 82.4 KiB | 2025-11-28 23:54:50 |
| wlroots0.18-0.18.3-r0.apk | 398.1 KiB | 2025-11-28 23:54:50 |
| wlroots0.18-dbg-0.18.3-r0.apk | 1.5 MiB | 2025-11-28 23:54:50 |
| wlroots0.18-static-0.18.3-r0.apk | 8.1 MiB | 2025-11-28 23:54:51 |
| compiz-lang-0.9.14.2-r13.apk | 1.2 MiB | 2025-11-29 00:01:29 |
| compiz-0.9.14.2-r13.apk | 6.4 MiB | 2025-11-29 00:01:29 |
| compiz-utils-0.9.14.2-r13.apk | 3.1 KiB | 2025-11-29 00:01:29 |
| compiz-pyc-0.9.14.2-r13.apk | 111.2 KiB | 2025-11-29 00:01:29 |
| compiz-dev-0.9.14.2-r13.apk | 117.0 KiB | 2025-11-29 00:01:29 |
| py3-flask-httpauth-pyc-4.8.0-r3.apk | 10.3 KiB | 2025-11-29 11:39:08 |
| py3-flask-httpauth-4.8.0-r3.apk | 7.6 KiB | 2025-11-29 11:39:08 |
| qmk-cli-1.2.0-r0.apk | 14.5 KiB | 2025-11-29 12:12:11 |
| qmk-cli-udev-1.2.0-r0.apk | 2.1 KiB | 2025-11-29 12:12:11 |
| qmk-cli-pyc-1.2.0-r0.apk | 22.7 KiB | 2025-11-29 12:12:11 |
| catppuccin-whiskers-2.5.1-r0.apk | 1.7 MiB | 2025-11-29 19:00:27 |
| catppuccin-whiskers-doc-2.5.1-r0.apk | 2.0 KiB | 2025-11-29 19:00:27 |
| tuckr-0.12.0-r0.apk | 529.1 KiB | 2025-11-30 10:13:08 |
| vali-0.1.0-r1.apk | 32.4 KiB | 2025-11-30 11:58:35 |
| vali-dev-0.1.0-r1.apk | 4.0 KiB | 2025-11-30 11:58:35 |
| cbqn-0.10.0-r0.apk | 833.0 KiB | 2025-11-30 12:22:39 |
| py3-apsw-pyc-3.51.1.0-r0.apk | 585.7 KiB | 2025-11-30 18:51:29 |
| py3-apsw-3.51.1.0-r0.apk | 884.3 KiB | 2025-11-30 18:51:29 |
| hatch-1.16.1-r0.apk | 114.5 KiB | 2025-11-30 20:02:20 |
| hatch-pyc-1.16.1-r0.apk | 246.2 KiB | 2025-11-30 20:02:20 |
| php82-pecl-brotli-0.18.3-r0.apk | 14.8 KiB | 2025-12-01 02:46:25 |
| php81-pecl-brotli-0.18.3-r0.apk | 14.8 KiB | 2025-12-01 02:46:25 |
| mint-x-icons-doc-1.7.5-r0.apk | 7.6 KiB | 2025-12-01 06:05:30 |
| mint-x-icons-1.7.5-r0.apk | 23.2 MiB | 2025-12-01 06:05:30 |
| wlr-sunclock-1.2.1-r0.apk | 45.5 KiB | 2025-12-01 14:09:01 |
| py3-zope-configuration-7.0-r0.apk | 38.4 KiB | 2025-12-02 00:40:12 |
| py3-zope-configuration-pyc-7.0-r0.apk | 48.7 KiB | 2025-12-02 00:40:12 |
| gdcm-dev-3.2.2-r3.apk | 449.7 KiB | 2025-12-02 06:51:49 |
| java-gdcm-3.2.2-r3.apk | 637.4 KiB | 2025-12-02 06:51:49 |
| gdcm-3.2.2-r3.apk | 421.6 KiB | 2025-12-02 06:51:49 |
| libgdcm-3.2.2-r3.apk | 2.6 MiB | 2025-12-02 06:51:50 |
| py3-gdcm-3.2.2-r3.apk | 685.4 KiB | 2025-12-02 06:51:50 |
| sydbox-doc-3.45.2-r0.apk | 165.0 KiB | 2025-12-03 02:59:45 |
| sydbox-3.45.2-r0.apk | 5.0 MiB | 2025-12-03 02:59:45 |
| sydbox-oci-3.45.2-r0.apk | 3.0 MiB | 2025-12-03 02:59:45 |
| sydbox-test-3.45.2-r0.apk | 1.8 MiB | 2025-12-03 02:59:46 |
| sydbox-utils-3.45.2-r0.apk | 6.4 MiB | 2025-12-03 02:59:46 |
| sydbox-syd-3.45.2-r0.apk | 2.0 MiB | 2025-12-03 02:59:46 |
| sydbox-vim-3.45.2-r0.apk | 7.0 KiB | 2025-12-03 02:59:46 |
| perl-sys-virt-doc-11.10.0-r0.apk | 106.2 KiB | 2025-12-03 16:06:20 |
| perl-sys-virt-11.10.0-r0.apk | 215.4 KiB | 2025-12-03 16:06:20 |
| acmeleaf-doc-0.2.0-r1.apk | 5.0 KiB | 2025-12-04 22:22:35 |
| acmeleaf-0.2.0-r1.apk | 4.9 MiB | 2025-12-04 22:22:35 |
| acmetool-0.2.2-r18.apk | 4.4 MiB | 2025-12-04 22:22:35 |
| acmetool-doc-0.2.2-r18.apk | 46.4 KiB | 2025-12-04 22:22:35 |
| alps-openrc-0_git20230807-r17.apk | 1.8 KiB | 2025-12-04 22:22:37 |
| alps-0_git20230807-r17.apk | 5.4 MiB | 2025-12-04 22:22:37 |
| alpine-lift-0.2.0-r28.apk | 3.6 MiB | 2025-12-04 22:22:37 |
| antibody-6.1.1-r33.apk | 1.8 MiB | 2025-12-04 22:22:37 |
| apx-2.4.5-r5.apk | 3.4 MiB | 2025-12-04 22:22:38 |
| aprilsh-openrc-0.7.12-r10.apk | 1.6 KiB | 2025-12-04 22:22:38 |
| aprilsh-0.7.12-r10.apk | 1.4 KiB | 2025-12-04 22:22:38 |
| aports-glmr-0.2-r33.apk | 2.5 MiB | 2025-12-04 22:22:38 |
| aprilsh-server-0.7.12-r10.apk | 2.4 MiB | 2025-12-04 22:22:38 |
| aprilsh-client-0.7.12-r10.apk | 3.1 MiB | 2025-12-04 22:22:38 |
| aprilsh-doc-0.7.12-r10.apk | 14.1 KiB | 2025-12-04 22:22:38 |
| apx-doc-2.4.5-r5.apk | 2.1 KiB | 2025-12-04 22:22:38 |
| argocd-doc-3.2.0-r1.apk | 5.3 KiB | 2025-12-04 22:22:42 |
| autorestic-1.8.3-r10.apk | 3.7 MiB | 2025-12-04 22:22:42 |
| argocd-bash-completion-3.2.0-r1.apk | 21.4 KiB | 2025-12-04 22:22:42 |
| argocd-3.2.0-r1.apk | 40.1 MiB | 2025-12-04 22:22:42 |
| argocd-zsh-completion-3.2.0-r1.apk | 3.8 KiB | 2025-12-04 22:22:42 |
| aws-ecr-get-login-password-1.0.0_rc2-r1.apk | 3.1 MiB | 2025-12-04 22:22:43 |
| aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk | 2.1 KiB | 2025-12-04 22:22:43 |
| bootloose-0.7.1-r16.apk | 2.1 MiB | 2025-12-04 22:22:45 |
| bomctl-zsh-completion-0.1.9-r11.apk | 3.8 KiB | 2025-12-04 22:22:45 |
| bomctl-0.1.9-r11.apk | 9.0 MiB | 2025-12-04 22:22:45 |
| bomctl-fish-completion-0.1.9-r11.apk | 4.1 KiB | 2025-12-04 22:22:45 |
| bomctl-bash-completion-0.1.9-r11.apk | 4.9 KiB | 2025-12-04 22:22:45 |
| buf-1.59.0-r1.apk | 14.2 MiB | 2025-12-04 22:22:48 |
| buf-fish-completion-1.59.0-r1.apk | 4.0 KiB | 2025-12-04 22:22:48 |
| buf-bash-completion-1.59.0-r1.apk | 8.4 KiB | 2025-12-04 22:22:48 |
| buf-protoc-plugins-1.59.0-r1.apk | 13.3 MiB | 2025-12-04 22:22:49 |
| butane-0.25.1-r2.apk | 3.0 MiB | 2025-12-04 22:22:49 |
| captive-browser-doc-0_git20210801-r2.apk | 3.4 KiB | 2025-12-04 22:22:49 |
| captive-browser-0_git20210801-r2.apk | 1.2 MiB | 2025-12-04 22:22:49 |
| buf-zsh-completion-1.59.0-r1.apk | 3.8 KiB | 2025-12-04 22:22:49 |
| certigo-1.16.0-r28.apk | 3.6 MiB | 2025-12-04 22:22:50 |
| certstrap-1.3.0-r29.apk | 2.2 MiB | 2025-12-04 22:22:50 |
| cfssl-1.6.5-r10.apk | 28.3 MiB | 2025-12-04 22:22:52 |
| charta-0.8.2-r1.apk | 2.0 MiB | 2025-12-04 22:22:53 |
| cilium-cli-bash-completion-0.16.13-r10.apk | 4.8 KiB | 2025-12-04 22:22:58 |
| cliphist-fzf-0.7.0-r1.apk | 1.5 KiB | 2025-12-04 22:22:58 |
| cliphist-0.7.0-r1.apk | 1004.8 KiB | 2025-12-04 22:22:58 |
| cilium-cli-zsh-completion-0.16.13-r10.apk | 3.8 KiB | 2025-12-04 22:22:58 |
| cilium-cli-fish-completion-0.16.13-r10.apk | 4.1 KiB | 2025-12-04 22:22:58 |
| cilium-cli-0.16.13-r10.apk | 53.1 MiB | 2025-12-04 22:22:58 |
| cloudfoundry-cli-8.7.9-r14.apk | 8.6 MiB | 2025-12-04 22:22:59 |
| comics-downloader-0.33.8-r15.apk | 3.7 MiB | 2025-12-04 22:22:59 |
| comics-downloader-gui-0.33.8-r15.apk | 5.4 MiB | 2025-12-04 22:23:00 |
| conntracct-openrc-0.2.7-r36.apk | 1.7 KiB | 2025-12-04 22:23:00 |
| conntracct-0.2.7-r36.apk | 4.9 MiB | 2025-12-04 22:23:00 |
| copyq-bash-completion-13.0.0-r1.apk | 2.0 KiB | 2025-12-04 22:23:01 |
| copyq-13.0.0-r1.apk | 2.7 MiB | 2025-12-04 22:23:01 |
| copyq-doc-13.0.0-r1.apk | 3.2 KiB | 2025-12-04 22:23:01 |
| consul-replicate-0.4.0-r36.apk | 2.8 MiB | 2025-12-04 22:23:01 |
| cortex-tenant-openrc-1.15.7-r2.apk | 1.8 KiB | 2025-12-04 22:23:02 |
| cortex-tenant-1.15.7-r2.apk | 4.0 MiB | 2025-12-04 22:23:02 |
| dbmate-2.28.0-r1.apk | 10.6 MiB | 2025-12-04 22:23:24 |
| desync-0.9.6-r10.apk | 7.4 MiB | 2025-12-04 22:23:24 |
| dbmate-doc-2.28.0-r1.apk | 2.0 KiB | 2025-12-04 22:23:24 |
| dmarc-cat-0.15.0-r10.apk | 2.7 MiB | 2025-12-04 22:23:25 |
| docker-volume-local-persist-1.3.0-r38.apk | 2.5 MiB | 2025-12-04 22:23:27 |
| docker-volume-local-persist-openrc-1.3.0-r38.apk | 1.5 KiB | 2025-12-04 22:23:27 |
| dockerize-0.9.6-r2.apk | 3.3 MiB | 2025-12-04 22:23:27 |
| draw-0.1.1-r18.apk | 1009.0 KiB | 2025-12-04 22:23:30 |
| dstask-import-0.27-r4.apk | 3.4 MiB | 2025-12-04 22:23:31 |
| dstask-zsh-completion-0.27-r4.apk | 1.4 KiB | 2025-12-04 22:23:31 |
| dstask-fish-completion-0.27-r4.apk | 1.4 KiB | 2025-12-04 22:23:31 |
| duf-0.9.1-r2.apk | 1.2 MiB | 2025-12-04 22:23:31 |
| dropwatch-1.5.5-r2.apk | 17.6 KiB | 2025-12-04 22:23:31 |
| elastic-beats-9.2.0-r1.apk | 1.0 KiB | 2025-12-04 22:23:31 |
| dstask-bash-completion-0.27-r4.apk | 1.9 KiB | 2025-12-04 22:23:31 |
| dropwatch-doc-1.5.5-r2.apk | 3.4 KiB | 2025-12-04 22:23:31 |
| drone-cli-1.8.0-r15.apk | 5.7 MiB | 2025-12-04 22:23:31 |
| dstask-0.27-r4.apk | 1.5 MiB | 2025-12-04 22:23:31 |
| duf-doc-0.9.1-r2.apk | 4.5 KiB | 2025-12-04 22:23:31 |
| envconsul-0.13.4-r1.apk | 4.8 MiB | 2025-12-04 22:23:32 |
| exercism-3.2.0-r18.apk | 4.0 MiB | 2025-12-04 22:23:32 |
| exercism-zsh-completion-3.2.0-r18.apk | 1.9 KiB | 2025-12-04 22:23:32 |
| ergo-ldap-0.0.1-r22.apk | 2.1 MiB | 2025-12-04 22:23:32 |
| exercism-fish-completion-3.2.0-r18.apk | 2.1 KiB | 2025-12-04 22:23:32 |
| exercism-bash-completion-3.2.0-r18.apk | 1.7 KiB | 2025-12-04 22:23:32 |
| ergo-ldap-doc-0.0.1-r22.apk | 2.0 KiB | 2025-12-04 22:23:32 |
| fathom-1.3.1-r18.apk | 4.7 MiB | 2025-12-04 22:23:33 |
| filebeat-9.2.0-r1.apk | 35.0 MiB | 2025-12-04 22:23:36 |
| filebeat-openrc-9.2.0-r1.apk | 1.8 KiB | 2025-12-04 22:23:36 |
| filebrowser-2.27.0-r16.apk | 7.2 MiB | 2025-12-04 22:23:37 |
| filebrowser-openrc-2.27.0-r16.apk | 1.6 KiB | 2025-12-04 22:23:37 |
| fynedesk-0.4.0-r2.apk | 12.6 MiB | 2025-12-04 22:23:38 |
| gb-0.4.4-r36.apk | 6.9 MiB | 2025-12-04 22:23:38 |
| ghq-fish-completion-1.8.0-r6.apk | 2.3 KiB | 2025-12-04 22:23:39 |
| ghq-1.8.0-r6.apk | 3.6 MiB | 2025-12-04 22:23:39 |
| geodns-logs-3.3.0-r18.apk | 4.3 MiB | 2025-12-04 22:23:39 |
| ghq-bash-completion-1.8.0-r6.apk | 1.5 KiB | 2025-12-04 22:23:39 |
| ghq-doc-1.8.0-r6.apk | 5.2 KiB | 2025-12-04 22:23:39 |
| geodns-openrc-3.3.0-r18.apk | 1.5 KiB | 2025-12-04 22:23:39 |
| ghq-zsh-completion-1.8.0-r6.apk | 2.2 KiB | 2025-12-04 22:23:39 |
| geodns-3.3.0-r18.apk | 4.7 MiB | 2025-12-04 22:23:39 |
| git-bug-0.8.1-r6.apk | 9.6 MiB | 2025-12-04 22:23:40 |
| git-bug-zsh-completion-0.8.1-r6.apk | 3.8 KiB | 2025-12-04 22:23:40 |
| git-bug-bash-completion-0.8.1-r6.apk | 5.0 KiB | 2025-12-04 22:23:40 |
| gliderlabs-sigil-0.11.0-r10.apk | 3.1 MiB | 2025-12-04 22:23:40 |
| git-bug-doc-0.8.1-r6.apk | 16.6 KiB | 2025-12-04 22:23:40 |
| git-bug-fish-completion-0.8.1-r6.apk | 4.1 KiB | 2025-12-04 22:23:40 |
| gliderlabs-sigil-doc-0.11.0-r10.apk | 2.2 KiB | 2025-12-04 22:23:40 |
| glow-bash-completion-2.1.1-r5.apk | 5.8 KiB | 2025-12-04 22:23:41 |
| glow-zsh-completion-2.1.1-r5.apk | 3.8 KiB | 2025-12-04 22:23:41 |
| glow-fish-completion-2.1.1-r5.apk | 4.1 KiB | 2025-12-04 22:23:41 |
| glow-doc-2.1.1-r5.apk | 2.9 KiB | 2025-12-04 22:23:41 |
| glow-2.1.1-r5.apk | 5.7 MiB | 2025-12-04 22:23:41 |
| go-jsonnet-0.21.0-r5.apk | 6.5 MiB | 2025-12-04 22:23:42 |
| go-passbolt-cli-0.3.2-r8.apk | 5.9 MiB | 2025-12-04 22:23:42 |
| godini-doc-1.0.0-r5.apk | 14.5 KiB | 2025-12-04 22:23:46 |
| godini-1.0.0-r5.apk | 1.5 MiB | 2025-12-04 22:23:46 |
| gobuster-3.8.0-r3.apk | 3.5 MiB | 2025-12-04 22:23:46 |
| goreman-0.3.15-r18.apk | 2.4 MiB | 2025-12-04 22:23:47 |
| gomp-1.0.0-r17.apk | 3.5 MiB | 2025-12-04 22:23:47 |
| gotify-cli-2.3.2-r10.apk | 4.1 MiB | 2025-12-04 22:23:48 |
| grpcui-1.5.1-r4.apk | 8.4 MiB | 2025-12-04 22:23:49 |
| grpcurl-1.9.3-r8.apk | 7.9 MiB | 2025-12-04 22:23:49 |
| gx-go-1.9.0-r37.apk | 4.8 MiB | 2025-12-04 22:23:50 |
| gyosu-0.2.0-r3.apk | 1.8 MiB | 2025-12-04 22:23:50 |
| gx-0.14.3-r35.apk | 4.6 MiB | 2025-12-04 22:23:50 |
| gx-go-doc-1.9.0-r37.apk | 2.0 KiB | 2025-12-04 22:23:50 |
| gx-doc-0.14.3-r35.apk | 2.0 KiB | 2025-12-04 22:23:50 |
| haproxy-dataplaneapi2-openrc-2.9.18-r2.apk | 1.9 KiB | 2025-12-04 22:23:51 |
| haproxy-dataplaneapi2-2.9.18-r2.apk | 9.9 MiB | 2025-12-04 22:23:51 |
| helm-diff-3.13.1-r1.apk | 20.6 MiB | 2025-12-04 22:23:53 |
| helm-ls-0.5.4-r1.apk | 17.6 MiB | 2025-12-04 22:23:55 |
| helm-ls-doc-0.5.4-r1.apk | 2.0 KiB | 2025-12-04 22:23:55 |
| helm-mapkubeapis-0.6.1-r1.apk | 20.2 MiB | 2025-12-04 22:23:56 |
| helm-unittest-1.0.3-r1.apk | 11.3 MiB | 2025-12-04 22:23:57 |
| helmfile-bash-completion-1.1.8-r1.apk | 5.9 KiB | 2025-12-04 22:24:02 |
| helmfile-fish-completion-1.1.8-r1.apk | 4.1 KiB | 2025-12-04 22:24:02 |
| helmfile-1.1.8-r1.apk | 56.9 MiB | 2025-12-04 22:24:02 |
| helmfile-doc-1.1.8-r1.apk | 2.0 KiB | 2025-12-04 22:24:02 |
| helmfile-zsh-completion-1.1.8-r1.apk | 3.8 KiB | 2025-12-04 22:24:02 |
| hilbish-2.3.4-r10.apk | 3.5 MiB | 2025-12-04 22:24:03 |
| hilbish-doc-2.3.4-r10.apk | 24.7 KiB | 2025-12-04 22:24:03 |
| hub-fish-completion-2.14.2-r36.apk | 3.0 KiB | 2025-12-04 22:24:04 |
| hub-2.14.2-r36.apk | 2.8 MiB | 2025-12-04 22:24:04 |
| hub-zsh-completion-2.14.2-r36.apk | 3.5 KiB | 2025-12-04 22:24:04 |
| hub-doc-2.14.2-r36.apk | 41.7 KiB | 2025-12-04 22:24:04 |
| hub-bash-completion-2.14.2-r36.apk | 4.3 KiB | 2025-12-04 22:24:04 |
| hubble-cli-bash-completion-0.13.6-r10.apk | 4.8 KiB | 2025-12-04 22:24:06 |
| hubble-cli-0.13.6-r10.apk | 16.8 MiB | 2025-12-04 22:24:06 |
| ijq-1.2.0-r2.apk | 1.4 MiB | 2025-12-04 22:24:06 |
| ijq-doc-1.2.0-r2.apk | 3.3 KiB | 2025-12-04 22:24:06 |
| hubble-cli-zsh-completion-0.13.6-r10.apk | 3.8 KiB | 2025-12-04 22:24:06 |
| hubble-cli-fish-completion-0.13.6-r10.apk | 4.1 KiB | 2025-12-04 22:24:06 |
| imapgoose-doc-0.4.1-r1.apk | 5.4 KiB | 2025-12-04 22:24:07 |
| imapgoose-openrc-0.4.1-r1.apk | 1.5 KiB | 2025-12-04 22:24:07 |
| invidtui-0.4.6-r10.apk | 3.9 MiB | 2025-12-04 22:24:07 |
| ipp-usb-doc-0.9.30-r5.apk | 8.6 KiB | 2025-12-04 22:24:07 |
| ipp-usb-openrc-0.9.30-r5.apk | 1.5 KiB | 2025-12-04 22:24:07 |
| ipp-usb-0.9.30-r5.apk | 2.4 MiB | 2025-12-04 22:24:07 |
| ircdog-0.5.4-r10.apk | 2.4 MiB | 2025-12-04 22:24:07 |
| imgdiff-1.0.2-r31.apk | 1022.9 KiB | 2025-12-04 22:24:07 |
| imapgoose-systemd-0.4.1-r1.apk | 1.5 KiB | 2025-12-04 22:24:07 |
| imgdiff-doc-1.0.2-r31.apk | 2.0 KiB | 2025-12-04 22:24:07 |
| imapgoose-0.4.1-r1.apk | 2.4 MiB | 2025-12-04 22:24:07 |
| jackal-0.64.0-r20.apk | 11.5 MiB | 2025-12-04 22:24:08 |
| jackal-openrc-0.64.0-r20.apk | 1.6 KiB | 2025-12-04 22:24:08 |
| jsonnet-bundler-0.6.0-r10.apk | 3.2 MiB | 2025-12-04 22:24:09 |
| jfrog-cli-2.45.0-r17.apk | 8.9 MiB | 2025-12-04 22:24:09 |
| k3sup-fish-completion-0.13.6-r10.apk | 4.0 KiB | 2025-12-04 22:24:10 |
| jsonnet-language-server-0.16.0-r1.apk | 4.3 MiB | 2025-12-04 22:24:10 |
| k3sup-bash-completion-0.13.6-r10.apk | 4.8 KiB | 2025-12-04 22:24:10 |
| k3sup-zsh-completion-0.13.6-r10.apk | 3.7 KiB | 2025-12-04 22:24:10 |
| k3sup-0.13.6-r10.apk | 2.6 MiB | 2025-12-04 22:24:10 |
| kapow-0.7.1-r18.apk | 3.5 MiB | 2025-12-04 22:24:11 |
| khinsider-2.0.7-r25.apk | 3.4 MiB | 2025-12-04 22:24:13 |
| keybase-client-6.2.8-r15.apk | 17.5 MiB | 2025-12-04 22:24:13 |
| kine-0.10.1-r18.apk | 7.6 MiB | 2025-12-04 22:24:14 |
| kine-doc-0.10.1-r18.apk | 4.9 KiB | 2025-12-04 22:24:14 |
| knative-client-zsh-completion-1.19.6-r1.apk | 3.8 KiB | 2025-12-04 22:24:16 |
| knative-client-1.19.6-r1.apk | 22.3 MiB | 2025-12-04 22:24:16 |
| knative-client-bash-completion-1.19.6-r1.apk | 9.9 KiB | 2025-12-04 22:24:16 |
| knative-client-fish-completion-1.19.6-r1.apk | 4.0 KiB | 2025-12-04 22:24:16 |
| ko-zsh-completion-0.17.1-r10.apk | 3.8 KiB | 2025-12-04 22:24:17 |
| ko-bash-completion-0.17.1-r10.apk | 4.8 KiB | 2025-12-04 22:24:17 |
| ko-0.17.1-r10.apk | 9.9 MiB | 2025-12-04 22:24:17 |
| ko-fish-completion-0.17.1-r10.apk | 4.0 KiB | 2025-12-04 22:24:17 |
| kompose-bash-completion-1.31.2-r15.apk | 5.3 KiB | 2025-12-04 22:24:18 |
| kompose-zsh-completion-1.31.2-r15.apk | 6.5 KiB | 2025-12-04 22:24:18 |
| kompose-fish-completion-1.31.2-r15.apk | 4.1 KiB | 2025-12-04 22:24:18 |
| kompose-1.31.2-r15.apk | 6.8 MiB | 2025-12-04 22:24:18 |
| kopia-0.21.1-r4.apk | 15.4 MiB | 2025-12-04 22:24:19 |
| kopia-zsh-completion-0.21.1-r4.apk | 1.5 KiB | 2025-12-04 22:24:19 |
| kopia-bash-completion-0.21.1-r4.apk | 1.5 KiB | 2025-12-04 22:24:19 |
| kube-no-trouble-0.7.3-r10.apk | 12.7 MiB | 2025-12-04 22:24:20 |
| kubeconform-0.7.0-r3.apk | 3.5 MiB | 2025-12-04 22:24:20 |
| kubectl-krew-0.4.5-r7.apk | 4.4 MiB | 2025-12-04 22:24:21 |
| kubectl-oidc_login-1.34.2-r1.apk | 5.4 MiB | 2025-12-04 22:24:21 |
| kubepug-zsh-completion-1.7.1-r15.apk | 3.8 KiB | 2025-12-04 22:24:23 |
| kubepug-1.7.1-r15.apk | 15.6 MiB | 2025-12-04 22:24:23 |
| kubepug-bash-completion-1.7.1-r15.apk | 4.9 KiB | 2025-12-04 22:24:23 |
| kubeseal-0.32.1-r2.apk | 10.7 MiB | 2025-12-04 22:24:23 |
| kubepug-fish-completion-1.7.1-r15.apk | 4.1 KiB | 2025-12-04 22:24:23 |
| kubeseal-doc-0.32.1-r2.apk | 5.3 KiB | 2025-12-04 22:24:23 |
| lbb-0.10.4-r1.apk | 3.1 MiB | 2025-12-04 22:24:24 |
| lefthook-2.0.4-r1.apk | 5.6 MiB | 2025-12-04 22:24:24 |
| lefthook-doc-2.0.4-r1.apk | 2.0 KiB | 2025-12-04 22:24:24 |
| legume-doc-1.4.2-r14.apk | 12.1 KiB | 2025-12-04 22:24:24 |
| lbb-doc-0.10.4-r1.apk | 20.0 KiB | 2025-12-04 22:24:24 |
| legume-1.4.2-r14.apk | 1.5 MiB | 2025-12-04 22:24:24 |
| linkquisition-1.6.1-r10.apk | 12.1 MiB | 2025-12-04 22:24:25 |
| maildir2rss-0.0.7-r10.apk | 3.5 MiB | 2025-12-04 22:24:27 |
| maildir-rank-addr-doc-1.4.1-r1.apk | 2.0 KiB | 2025-12-04 22:24:27 |
| maildir-rank-addr-1.4.1-r1.apk | 3.2 MiB | 2025-12-04 22:24:27 |
| makeclapman-doc-2.4.4-r10.apk | 3.9 KiB | 2025-12-04 22:24:27 |
| mailsec-check-0_git20210729-r31.apk | 2.5 MiB | 2025-12-04 22:24:27 |
| linuxkit-doc-1.8.2-r1.apk | 10.0 KiB | 2025-12-04 22:24:27 |
| makeclapman-2.4.4-r10.apk | 1.3 MiB | 2025-12-04 22:24:27 |
| linuxkit-1.8.2-r1.apk | 12.9 MiB | 2025-12-04 22:24:27 |
| mangal-zsh-completion-4.0.6-r23.apk | 3.7 KiB | 2025-12-04 22:24:28 |
| mangal-4.0.6-r23.apk | 10.0 MiB | 2025-12-04 22:24:28 |
| mangal-fish-completion-4.0.6-r23.apk | 3.7 KiB | 2025-12-04 22:24:28 |
| mangal-bash-completion-4.0.6-r23.apk | 4.7 KiB | 2025-12-04 22:24:28 |
| manifest-tool-2.2.0-r6.apk | 3.8 MiB | 2025-12-04 22:24:29 |
| mapnik-dev-4.1.4-r0.apk | 486.6 KiB | 2025-12-04 22:24:30 |
| mapserver-dev-8.4.1-r1.apk | 539.6 KiB | 2025-12-04 22:24:30 |
| mapserver-8.4.1-r1.apk | 1.4 MiB | 2025-12-04 22:24:30 |
| mapnik-4.1.4-r0.apk | 12.5 MiB | 2025-12-04 22:24:30 |
| mapnik-doc-4.1.4-r0.apk | 142.7 KiB | 2025-12-04 22:24:30 |
| mautrix-zulip-doc-0.2511.0-r1.apk | 13.0 KiB | 2025-12-04 22:24:31 |
| mautrix-zulip-openrc-0.2511.0-r1.apk | 1.7 KiB | 2025-12-04 22:24:31 |
| mautrix-zulip-0.2511.0-r1.apk | 6.2 MiB | 2025-12-04 22:24:31 |
| metricbeat-openrc-9.2.0-r1.apk | 1.8 KiB | 2025-12-04 22:24:36 |
| metricbeat-9.2.0-r1.apk | 45.5 MiB | 2025-12-04 22:24:36 |
| mobroute-doc-0.10.0-r5.apk | 1.3 MiB | 2025-12-04 22:24:37 |
| mkcert-1.4.4-r24.apk | 1.7 MiB | 2025-12-04 22:24:37 |
| mkdotenv-0.4.9-r2.apk | 927.2 KiB | 2025-12-04 22:24:37 |
| mobroute-0.10.0-r5.apk | 4.5 MiB | 2025-12-04 22:24:37 |
| mkbrr-1.18.0-r1.apk | 4.0 MiB | 2025-12-04 22:24:37 |
| mods-1.8.1-r3.apk | 9.9 MiB | 2025-12-04 22:24:38 |
| mods-doc-1.8.1-r3.apk | 2.0 KiB | 2025-12-04 22:24:38 |
| msh-openrc-2.5.0-r17.apk | 1.7 KiB | 2025-12-04 22:24:39 |
| mqtt2prometheus-0.1.7-r21.apk | 4.3 MiB | 2025-12-04 22:24:39 |
| msh-2.5.0-r17.apk | 2.8 MiB | 2025-12-04 22:24:39 |
| mtg-openrc-2.1.7-r26.apk | 1.6 KiB | 2025-12-04 22:24:40 |
| mtg-2.1.7-r26.apk | 4.4 MiB | 2025-12-04 22:24:40 |
| mtail-openrc-3.2.26-r1.apk | 1.7 KiB | 2025-12-04 22:24:40 |
| mtail-3.2.26-r1.apk | 13.1 MiB | 2025-12-04 22:24:40 |
| net-predictable-doc-1.5.1-r5.apk | 2.0 KiB | 2025-12-04 22:24:40 |
| net-predictable-1.5.1-r5.apk | 941.4 KiB | 2025-12-04 22:24:40 |
| nwg-look-1.0.6-r1.apk | 1.4 MiB | 2025-12-04 22:24:41 |
| nwg-dock-0.4.3-r5.apk | 1.7 MiB | 2025-12-04 22:24:41 |
| nom-2.8.0-r8.apk | 6.8 MiB | 2025-12-04 22:24:41 |
| nwg-bar-0.1.6-r15.apk | 1.6 MiB | 2025-12-04 22:24:41 |
| nwg-look-doc-1.0.6-r1.apk | 3.9 KiB | 2025-12-04 22:24:41 |
| nom-doc-2.8.0-r8.apk | 3.8 KiB | 2025-12-04 22:24:41 |
| oauth2-proxy-openrc-7.11.0-r4.apk | 1.9 KiB | 2025-12-04 22:24:42 |
| oauth2-proxy-7.11.0-r4.apk | 8.3 MiB | 2025-12-04 22:24:42 |
| opcr-policy-0.3.1-r1.apk | 9.6 MiB | 2025-12-04 22:24:43 |
| packwiz-0_git20251102-r1.apk | 4.6 MiB | 2025-12-04 22:24:44 |
| packwiz-doc-0_git20251102-r1.apk | 2.0 KiB | 2025-12-04 22:24:44 |
| pathvector-6.3.2-r18.apk | 3.8 MiB | 2025-12-04 22:24:44 |
| pomo-0.8.1-r28.apk | 1.6 MiB | 2025-12-04 22:24:46 |
| pomo-doc-0.8.1-r28.apk | 2.5 KiB | 2025-12-04 22:24:46 |
| plakar-doc-1.0.6-r1.apk | 1.8 KiB | 2025-12-04 22:24:46 |
| plakar-1.0.6-r1.apk | 18.4 MiB | 2025-12-04 22:24:46 |
| prometheus-ceph-exporter-4.2.5-r9.apk | 3.5 MiB | 2025-12-04 22:24:49 |
| prometheus-ceph-exporter-openrc-4.2.5-r9.apk | 1.6 KiB | 2025-12-04 22:24:49 |
| popeye-0.22.1-r9.apk | 26.6 MiB | 2025-12-04 22:24:49 |
| prometheus-opnsense-exporter-openrc-0.0.11-r2.apk | 1.8 KiB | 2025-12-04 22:24:50 |
| prometheus-opnsense-exporter-0.0.11-r2.apk | 4.7 MiB | 2025-12-04 22:24:50 |
| prometheus-podman-exporter-1.18.1-r2.apk | 14.5 MiB | 2025-12-04 22:24:51 |
| prometheus-rethinkdb-exporter-1.0.1-r33.apk | 4.1 MiB | 2025-12-04 22:24:52 |
| prometheus-smtp2go-exporter-0.1.1-r4.apk | 3.3 MiB | 2025-12-04 22:24:52 |
| protoc-gen-go-1.36.10-r1.apk | 2.2 MiB | 2025-12-04 22:24:52 |
| prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk | 1.4 KiB | 2025-12-04 22:24:52 |
| prometheus-smartctl-exporter-0.14.0-r5.apk | 4.5 MiB | 2025-12-04 22:24:52 |
| prometheus-smartctl-exporter-openrc-0.14.0-r5.apk | 1.6 KiB | 2025-12-04 22:24:52 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk | 1.7 KiB | 2025-12-04 22:24:52 |
| pug-0.6.5-r0.apk | 3.4 MiB | 2025-12-04 22:24:53 |
| py3-joserfc-1.5.0-r0.apk | 53.8 KiB | 2025-12-04 22:24:53 |
| py3-iso639-lang-2.6.3-r0.apk | 310.6 KiB | 2025-12-04 22:24:53 |
| py3-joserfc-pyc-1.5.0-r0.apk | 110.1 KiB | 2025-12-04 22:24:53 |
| py3-iso639-lang-pyc-2.6.3-r0.apk | 12.0 KiB | 2025-12-04 22:24:53 |
| protoconf-0.1.7-r18.apk | 7.4 MiB | 2025-12-04 22:24:53 |
| pyonji-0.1.0-r11.apk | 2.8 MiB | 2025-12-04 22:24:54 |
| qbittorrent-cli-2.2.0-r5.apk | 5.5 MiB | 2025-12-04 22:24:54 |
| qt6ct-0.11-r2.apk | 195.7 KiB | 2025-12-04 22:24:54 |
| reg-0.16.1-r33.apk | 4.5 MiB | 2025-12-04 22:24:54 |
| regal-zsh-completion-0.36.1-r1.apk | 3.8 KiB | 2025-12-04 22:24:56 |
| regal-0.36.1-r1.apk | 11.4 MiB | 2025-12-04 22:24:56 |
| regal-bash-completion-0.36.1-r1.apk | 5.8 KiB | 2025-12-04 22:24:56 |
| regal-fish-completion-0.36.1-r1.apk | 4.1 KiB | 2025-12-04 22:24:56 |
| remco-doc-0.12.5-r5.apk | 2.0 KiB | 2025-12-04 22:24:58 |
| remco-0.12.5-r5.apk | 9.4 MiB | 2025-12-04 22:24:58 |
| remco-openrc-0.12.5-r5.apk | 1.4 KiB | 2025-12-04 22:24:58 |
| rke-doc-1.4.3-r20.apk | 2.7 KiB | 2025-12-04 22:24:59 |
| rtl8192eu-src-5.11.2.3_git20251017-r0.apk | 2.6 MiB | 2025-12-04 22:24:59 |
| rke-1.4.3-r20.apk | 19.1 MiB | 2025-12-04 22:24:59 |
| satellite-1.0.0-r33.apk | 2.2 MiB | 2025-12-04 22:25:00 |
| ruuvi-prometheus-0.1.9-r7.apk | 3.2 MiB | 2025-12-04 22:25:00 |
| satellite-openrc-1.0.0-r33.apk | 1.6 KiB | 2025-12-04 22:25:00 |
| s5cmd-2.3.0-r8.apk | 4.8 MiB | 2025-12-04 22:25:00 |
| ruuvi-prometheus-openrc-0.1.9-r7.apk | 1.4 KiB | 2025-12-04 22:25:00 |
| satellite-doc-1.0.0-r33.apk | 2.8 KiB | 2025-12-04 22:25:00 |
| secsipidx-dev-1.3.2-r17.apk | 5.4 MiB | 2025-12-04 22:25:01 |
| secsipidx-1.3.2-r17.apk | 2.7 MiB | 2025-12-04 22:25:01 |
| scalingo-1.30.0-r15.apk | 5.2 MiB | 2025-12-04 22:25:01 |
| secsipidx-libs-1.3.2-r17.apk | 2.4 MiB | 2025-12-04 22:25:02 |
| semaphoreui-bash-completion-2.16.37-r1.apk | 5.8 KiB | 2025-12-04 22:25:03 |
| semaphoreui-2.16.37-r1.apk | 15.7 MiB | 2025-12-04 22:25:03 |
| semaphoreui-openrc-2.16.37-r1.apk | 1.8 KiB | 2025-12-04 22:25:03 |
| semaphoreui-fish-completion-2.16.37-r1.apk | 4.1 KiB | 2025-12-04 22:25:03 |
| semaphoreui-doc-2.16.37-r1.apk | 2.1 KiB | 2025-12-04 22:25:03 |
| semaphoreui-zsh-completion-2.16.37-r1.apk | 3.8 KiB | 2025-12-04 22:25:03 |
| sipexer-1.2.0-r8.apk | 2.7 MiB | 2025-12-04 22:25:03 |
| sish-2.16.1-r10.apk | 7.8 MiB | 2025-12-04 22:25:04 |
| sish-openrc-2.16.1-r10.apk | 1.6 KiB | 2025-12-04 22:25:04 |
| snippets-ls-0.0.4_git20240617-r10.apk | 1.3 MiB | 2025-12-04 22:25:04 |
| spacectl-fish-completion-1.12.0-r6.apk | 6.8 KiB | 2025-12-04 22:25:05 |
| spacectl-bash-completion-1.12.0-r6.apk | 1.8 KiB | 2025-12-04 22:25:05 |
| spacectl-doc-1.12.0-r6.apk | 2.0 KiB | 2025-12-04 22:25:05 |
| spacectl-zsh-completion-1.12.0-r6.apk | 1.6 KiB | 2025-12-04 22:25:05 |
| spacectl-1.12.0-r6.apk | 5.5 MiB | 2025-12-04 22:25:05 |
| sos-0.8-r36.apk | 2.7 MiB | 2025-12-04 22:25:05 |
| speedtest_exporter-openrc-0.3.2-r20.apk | 1.6 KiB | 2025-12-04 22:25:06 |
| speedtest-go-openrc-1.1.5-r20.apk | 1.5 KiB | 2025-12-04 22:25:06 |
| speedtest-go-1.1.5-r20.apk | 5.3 MiB | 2025-12-04 22:25:06 |
| speedtest-go-doc-1.1.5-r20.apk | 4.2 KiB | 2025-12-04 22:25:06 |
| spiped-1.6.4-r0.apk | 76.3 KiB | 2025-12-04 22:25:06 |
| speedtest_exporter-0.3.2-r20.apk | 3.8 MiB | 2025-12-04 22:25:06 |
| sshsrv-1.0-r17.apk | 1009.1 KiB | 2025-12-04 22:25:07 |
| stacker-doc-1.1.3-r1.apk | 15.4 KiB | 2025-12-04 22:25:09 |
| stacker-1.1.3-r1.apk | 23.2 MiB | 2025-12-04 22:25:09 |
| stayrtr-0.6.3-r1.apk | 10.5 MiB | 2025-12-04 22:25:10 |
| stayrtr-openrc-0.6.3-r1.apk | 1.7 KiB | 2025-12-04 22:25:10 |
| supersonik-0.1.0-r3.apk | 1.1 MiB | 2025-12-04 22:25:12 |
| stern-fish-completion-1.33.0-r1.apk | 4.1 KiB | 2025-12-04 22:25:12 |
| stern-1.33.0-r1.apk | 17.7 MiB | 2025-12-04 22:25:12 |
| stern-bash-completion-1.33.0-r1.apk | 5.6 KiB | 2025-12-04 22:25:12 |
| tangctl-0_git20241007-r9.apk | 2.5 MiB | 2025-12-04 22:25:12 |
| stern-zsh-completion-1.33.0-r1.apk | 3.8 KiB | 2025-12-04 22:25:12 |
| tanka-0.35.0-r1.apk | 6.9 MiB | 2025-12-04 22:25:13 |
| taskcafe-openrc-0.3.6-r18.apk | 1.6 KiB | 2025-12-04 22:25:14 |
| taskcafe-0.3.6-r18.apk | 13.3 MiB | 2025-12-04 22:25:14 |
| templ-0.3.960-r1.apk | 5.2 MiB | 2025-12-04 22:25:14 |
| tempo-2.9.0-r1.apk | 29.9 MiB | 2025-12-04 22:25:17 |
| tempo-cli-2.9.0-r1.apk | 21.3 MiB | 2025-12-04 22:25:19 |
| tempo-query-2.9.0-r1.apk | 6.4 MiB | 2025-12-04 22:25:19 |
| tempo-openrc-2.9.0-r1.apk | 1.6 KiB | 2025-12-04 22:25:19 |
| tempo-vulture-openrc-2.9.0-r1.apk | 1.7 KiB | 2025-12-04 22:25:21 |
| tempo-vulture-2.9.0-r1.apk | 14.1 MiB | 2025-12-04 22:25:21 |
| tenv-zsh-completion-4.9.0-r1.apk | 3.8 KiB | 2025-12-04 22:25:22 |
| ticker-zsh-completion-5.0.7-r7.apk | 3.8 KiB | 2025-12-04 22:25:22 |
| ticker-bash-completion-5.0.7-r7.apk | 5.9 KiB | 2025-12-04 22:25:22 |
| ticker-fish-completion-5.0.7-r7.apk | 4.1 KiB | 2025-12-04 22:25:22 |
| tfupdate-0.8.2-r11.apk | 4.7 MiB | 2025-12-04 22:25:22 |
| tfupdate-doc-0.8.2-r11.apk | 2.0 KiB | 2025-12-04 22:25:22 |
| tenv-fish-completion-4.9.0-r1.apk | 4.1 KiB | 2025-12-04 22:25:22 |
| tenv-bash-completion-4.9.0-r1.apk | 5.8 KiB | 2025-12-04 22:25:22 |
| ticker-5.0.7-r7.apk | 3.4 MiB | 2025-12-04 22:25:22 |
| tenv-4.9.0-r1.apk | 9.3 MiB | 2025-12-04 22:25:22 |
| timoni-bash-completion-0.23.0-r10.apk | 7.7 KiB | 2025-12-04 22:25:24 |
| timoni-fish-completion-0.23.0-r10.apk | 4.1 KiB | 2025-12-04 22:25:24 |
| timoni-0.23.0-r10.apk | 22.4 MiB | 2025-12-04 22:25:24 |
| timoni-zsh-completion-0.23.0-r10.apk | 3.8 KiB | 2025-12-04 22:25:24 |
| timoni-doc-0.23.0-r10.apk | 337.7 KiB | 2025-12-04 22:25:24 |
| tmpl-0.4.0-r16.apk | 2.6 MiB | 2025-12-04 22:25:25 |
| tonutils-reverse-proxy-0.4.6-r4.apk | 4.2 MiB | 2025-12-04 22:25:25 |
| tonutils-reverse-proxy-doc-0.4.6-r4.apk | 3.0 KiB | 2025-12-04 22:25:25 |
| tmpl-doc-0.4.0-r16.apk | 2.0 KiB | 2025-12-04 22:25:25 |
| tty-share-2.4.0-r23.apk | 3.7 MiB | 2025-12-04 22:25:32 |
| tty-proxy-0.0.2-r33.apk | 2.5 MiB | 2025-12-04 22:25:32 |
| undock-0.10.0-r5.apk | 9.8 MiB | 2025-12-04 22:25:33 |
| up-0.4-r34.apk | 1.2 MiB | 2025-12-04 22:25:33 |
| upterm-0.17.0-r1.apk | 6.8 MiB | 2025-12-04 22:25:34 |
| upterm-doc-0.17.0-r1.apk | 6.8 KiB | 2025-12-04 22:25:34 |
| upterm-bash-completion-0.17.0-r1.apk | 5.3 KiB | 2025-12-04 22:25:34 |
| upterm-server-0.17.0-r1.apk | 6.5 MiB | 2025-12-04 22:25:35 |
| upterm-zsh-completion-0.17.0-r1.apk | 3.8 KiB | 2025-12-04 22:25:35 |
| upterm-server-openrc-0.17.0-r1.apk | 1.7 KiB | 2025-12-04 22:25:35 |
| usql-0.19.26-r1.apk | 41.8 MiB | 2025-12-04 22:25:39 |
| vertigo-doc-0.3.4-r1.apk | 1.6 KiB | 2025-12-04 22:25:42 |
| vertigo-0.3.4-r1.apk | 1.6 MiB | 2025-12-04 22:25:42 |
| vals-0.42.4-r1.apk | 34.7 MiB | 2025-12-04 22:25:42 |
| virtctl-zsh-completion-1.6.2-r1.apk | 3.8 KiB | 2025-12-04 22:25:43 |
| virtctl-1.6.2-r1.apk | 14.3 MiB | 2025-12-04 22:25:43 |
| virtctl-fish-completion-1.6.2-r1.apk | 4.1 KiB | 2025-12-04 22:25:43 |
| virtctl-bash-completion-1.6.2-r1.apk | 4.9 KiB | 2025-12-04 22:25:43 |
| virter-0.29.0-r5.apk | 5.6 MiB | 2025-12-04 22:25:44 |
| walk-doc-1.13.0-r8.apk | 2.0 KiB | 2025-12-04 22:25:44 |
| virter-doc-0.29.0-r5.apk | 14.6 KiB | 2025-12-04 22:25:44 |
| walk-1.13.0-r8.apk | 3.0 MiB | 2025-12-04 22:25:44 |
| virter-zsh-completion-0.29.0-r5.apk | 3.8 KiB | 2025-12-04 22:25:44 |
| virter-fish-completion-0.29.0-r5.apk | 4.1 KiB | 2025-12-04 22:25:44 |
| virter-bash-completion-0.29.0-r5.apk | 5.8 KiB | 2025-12-04 22:25:44 |
| warp-s3-1.3.1-r1.apk | 7.8 MiB | 2025-12-04 22:25:45 |
| webhookd-openrc-1.20.2-r5.apk | 2.0 KiB | 2025-12-04 22:25:45 |
| webhookd-1.20.2-r5.apk | 3.2 MiB | 2025-12-04 22:25:45 |
| webtunnel-0.0.2-r6.apk | 3.6 MiB | 2025-12-04 22:25:45 |
| webhookd-doc-1.20.2-r5.apk | 2.0 KiB | 2025-12-04 22:25:45 |
| wgcf-2.2.29-r1.apk | 4.4 MiB | 2025-12-04 22:25:46 |
| wgcf-bash-completion-2.2.29-r1.apk | 5.8 KiB | 2025-12-04 22:25:46 |
| wgcf-zsh-completion-2.2.29-r1.apk | 3.8 KiB | 2025-12-04 22:25:46 |
| wl-gammarelay-0.1.3-r4.apk | 1.6 MiB | 2025-12-04 22:25:46 |
| wgcf-fish-completion-2.2.29-r1.apk | 4.1 KiB | 2025-12-04 22:25:46 |
| wtfutil-0.43.0-r18.apk | 18.4 MiB | 2025-12-04 22:25:48 |
| xmpp-dns-0.2.4-r29.apk | 1.8 MiB | 2025-12-04 22:25:49 |
| yamldiff-doc-0.3.0-r3.apk | 2.0 KiB | 2025-12-04 22:25:49 |
| yamldiff-0.3.0-r3.apk | 1.6 MiB | 2025-12-04 22:25:49 |
| yaegi-0.16.1-r13.apk | 7.0 MiB | 2025-12-04 22:25:49 |
| yubikey-agent-0.1.6-r17.apk | 1.8 MiB | 2025-12-04 22:25:50 |
| ytt-0.52.1-r2.apk | 4.4 MiB | 2025-12-04 22:25:50 |
| zot-2.1.8-r2.apk | 72.0 MiB | 2025-12-04 22:25:56 |
| zot-cli-2.1.8-r2.apk | 9.9 MiB | 2025-12-04 22:25:57 |
| zot-openrc-2.1.8-r2.apk | 1.7 KiB | 2025-12-04 22:25:57 |
| zot-cli-fish-completion-2.1.8-r2.apk | 4.1 KiB | 2025-12-04 22:25:57 |
| zot-exporter-2.1.8-r2.apk | 3.8 MiB | 2025-12-04 22:25:57 |
| zot-cli-bash-completion-2.1.8-r2.apk | 5.8 KiB | 2025-12-04 22:25:57 |
| zot-doc-2.1.8-r2.apk | 9.1 KiB | 2025-12-04 22:25:57 |
| zot-cli-zsh-completion-2.1.8-r2.apk | 3.8 KiB | 2025-12-04 22:25:57 |
| zrepl-zsh-completion-0.6.1-r16.apk | 1.7 KiB | 2025-12-04 22:25:58 |
| zrepl-openrc-0.6.1-r16.apk | 1.5 KiB | 2025-12-04 22:25:58 |
| zrepl-0.6.1-r16.apk | 6.6 MiB | 2025-12-04 22:25:58 |
| zrepl-bash-completion-0.6.1-r16.apk | 4.2 KiB | 2025-12-04 22:25:58 |
| perl-time-moment-doc-0.46-r0.apk | 35.4 KiB | 2025-12-04 22:54:30 |
| perl-time-moment-0.46-r0.apk | 43.6 KiB | 2025-12-04 22:54:30 |
| php82-pecl-xdebug-3.5.0-r0.apk | 156.8 KiB | 2025-12-04 22:57:29 |
| php81-pecl-xdebug-3.5.0-r0.apk | 156.8 KiB | 2025-12-04 22:57:29 |
| crowdsec-1.7.4-r0.apk | 37.8 MiB | 2025-12-05 01:55:54 |
| crowdsec-file-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:55 |
| crowdsec-email-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:55 |
| crowdsec-openrc-1.7.4-r0.apk | 1.5 KiB | 2025-12-05 01:55:56 |
| crowdsec-http-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:56 |
| crowdsec-sentinel-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:56 |
| crowdsec-slack-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:57 |
| crowdsec-splunk-plugin-1.7.4-r0.apk | 6.0 MiB | 2025-12-05 01:55:58 |
| nwipe-doc-0.39-r0.apk | 3.5 KiB | 2025-12-05 02:01:33 |
| nwipe-0.39-r0.apk | 271.5 KiB | 2025-12-05 02:01:33 |
| hurl-zsh-completion-7.1.0-r0.apk | 3.9 KiB | 2025-12-05 18:42:26 |
| hurl-7.1.0-r0.apk | 2.5 MiB | 2025-12-05 18:42:26 |
| hurl-doc-7.1.0-r0.apk | 8.9 KiB | 2025-12-05 18:42:26 |
| hurl-fish-completion-7.1.0-r0.apk | 3.4 KiB | 2025-12-05 18:42:26 |
| hurl-bash-completion-7.1.0-r0.apk | 2.1 KiB | 2025-12-05 18:42:26 |
| linux-gpib-dev-4.3.7-r0.apk | 7.4 KiB | 2025-12-07 00:00:23 |
| linux-gpib-4.3.7-r0.apk | 67.6 KiB | 2025-12-07 00:00:23 |
| linux-gpib-udev-4.3.7-r0.apk | 2.4 KiB | 2025-12-07 00:00:23 |
| linux-gpib-doc-4.3.7-r0.apk | 326.1 KiB | 2025-12-07 00:00:23 |
| fxload-2008.10.13-r0.apk | 9.2 KiB | 2025-12-07 00:00:23 |
| php81-pecl-apcu-5.1.28-r0.apk | 56.2 KiB | 2025-12-07 15:55:11 |
| php82-pecl-apcu-5.1.28-r0.apk | 56.5 KiB | 2025-12-07 15:55:11 |
| borogove-sdk-0_git20251206-r0.apk | 3.6 MiB | 2025-12-07 16:00:15 |
| py3-icalendar-searcher-1.0.3-r0.apk | 34.7 KiB | 2025-12-07 19:50:33 |
| py3-caldav-2.2.3-r0.apk | 112.5 KiB | 2025-12-07 19:50:33 |
| py3-caldav-pyc-2.2.3-r0.apk | 153.7 KiB | 2025-12-07 19:50:33 |
| py3-icalendar-searcher-pyc-1.0.3-r0.apk | 25.5 KiB | 2025-12-07 19:50:33 |
| syd-tui-0.2.2-r0.apk | 428.5 KiB | 2025-12-08 02:54:55 |
| regclient-0.11.1-r0.apk | 13.2 MiB | 2025-12-08 10:42:15 |
| chimerautils-dbg-15.0.2-r0.apk | 2.9 MiB | 2025-12-08 17:20:47 |
| chimerautils-15.0.2-r0.apk | 1.4 MiB | 2025-12-08 17:20:47 |
| libwasmtime-static-39.0.1-r0.apk | 5.6 MiB | 2025-12-08 21:05:38 |
| libwasmtime-39.0.1-r0.apk | 3.6 MiB | 2025-12-08 21:05:38 |
| wasmtime-39.0.1-r0.apk | 8.9 MiB | 2025-12-08 21:05:39 |
| wasmtime-dev-39.0.1-r0.apk | 114.1 KiB | 2025-12-08 21:05:39 |
| perl-test-unit-0.29-r0.apk | 35.3 KiB | 2025-12-09 09:01:38 |
| perl-test-unit-doc-0.29-r0.apk | 48.5 KiB | 2025-12-09 09:01:38 |
| lomiri-content-hub-lang-2.2.1-r0.apk | 47.2 KiB | 2025-12-09 11:12:59 |
| biometryd-dev-0.3.3-r0.apk | 12.7 KiB | 2025-12-09 11:12:59 |
| lomiri-libusermetrics-1.4.0-r0.apk | 234.0 KiB | 2025-12-09 11:12:59 |
| lomiri-libusermetrics-doc-1.4.0-r0.apk | 227.4 KiB | 2025-12-09 11:12:59 |
| gsettings-qt-dev-1.1.0-r0.apk | 3.3 KiB | 2025-12-09 11:12:59 |
| gsettings-qt-1.1.0-r0.apk | 30.7 KiB | 2025-12-09 11:12:59 |
| lomiri-content-hub-doc-2.2.1-r0.apk | 1.4 MiB | 2025-12-09 11:12:59 |
| lomiri-download-manager-doc-0.3.0-r0.apk | 3.4 MiB | 2025-12-09 11:12:59 |
| lomiri-libusermetrics-lang-1.4.0-r0.apk | 44.8 KiB | 2025-12-09 11:12:59 |
| lomiri-content-hub-2.2.1-r0.apk | 288.3 KiB | 2025-12-09 11:12:59 |
| lomiri-libusermetrics-dev-1.4.0-r0.apk | 7.6 KiB | 2025-12-09 11:12:59 |
| lomiri-download-manager-dev-0.3.0-r0.apk | 16.6 KiB | 2025-12-09 11:12:59 |
| lomiri-content-hub-dev-2.2.1-r0.apk | 10.9 KiB | 2025-12-09 11:12:59 |
| lomiri-download-manager-lang-0.3.0-r0.apk | 29.9 KiB | 2025-12-09 11:12:59 |
| lomiri-download-manager-0.3.0-r0.apk | 624.7 KiB | 2025-12-09 11:12:59 |
| biometryd-0.3.3-r0.apk | 335.6 KiB | 2025-12-09 11:12:59 |
| lomiri-thumbnailer-dev-3.1.0-r0.apk | 4.8 KiB | 2025-12-09 11:13:00 |
| lomiri-thumbnailer-3.1.0-r0.apk | 228.0 KiB | 2025-12-09 11:13:00 |
| lomiri-ui-extras-0.8.0-r0.apk | 263.4 KiB | 2025-12-09 11:13:00 |
| lomiri-ui-extras-lang-0.8.0-r0.apk | 52.0 KiB | 2025-12-09 11:13:00 |
| lomiri-thumbnailer-doc-3.1.0-r0.apk | 123.4 KiB | 2025-12-09 11:13:00 |
| tartube-2.5.0-r2.apk | 2.7 MiB | 2025-12-09 12:32:33 |
| tartube-pyc-2.5.0-r2.apk | 1.1 MiB | 2025-12-09 12:32:33 |
| py3-imageio-2.37.0-r0.apk | 285.2 KiB | 2025-12-09 12:32:33 |
| py3-moviepy-1.0.3-r6.apk | 91.2 KiB | 2025-12-09 12:32:33 |
| py3-moviepy-pyc-1.0.3-r6.apk | 153.0 KiB | 2025-12-09 12:32:33 |
| py3-imageio-pyc-2.37.0-r0.apk | 503.5 KiB | 2025-12-09 12:32:33 |
| httpx-doc-1.7.4-r0.apk | 2.0 KiB | 2025-12-09 23:38:55 |
| httpx-1.7.4-r0.apk | 16.8 MiB | 2025-12-09 23:38:55 |
| punch-0.1.1-r0.apk | 29.3 KiB | 2025-12-09 23:50:52 |
| punch-pyc-0.1.1-r0.apk | 30.3 KiB | 2025-12-09 23:50:52 |
| bash-pinyin-completion-rs-doc-1.0.3-r0.apk | 13.3 KiB | 2025-12-10 00:02:03 |
| bash-pinyin-completion-rs-1.0.3-r0.apk | 313.3 KiB | 2025-12-10 00:02:03 |
| serie-0.5.5-r0.apk | 919.2 KiB | 2025-12-10 01:54:58 |
| serie-doc-0.5.5-r0.apk | 7.9 KiB | 2025-12-10 01:54:58 |
| qt6-qtgraphs-dev-6.10.1-r0.apk | 118.1 KiB | 2025-12-10 17:45:33 |
| qt6-qtgraphs-6.10.1-r0.apk | 1.2 MiB | 2025-12-10 17:45:33 |
| dnote-zsh-completion-0.16.0-r0.apk | 1.8 KiB | 2025-12-10 19:01:49 |
| dnote-bash-completion-0.16.0-r0.apk | 1.8 KiB | 2025-12-10 19:01:49 |
| dnote-0.16.0-r0.apk | 3.9 MiB | 2025-12-10 19:01:49 |
| tachyon-0.99_beta6-r2.apk | 120.1 KiB | 2025-12-10 19:01:49 |
| dnote-doc-0.16.0-r0.apk | 6.0 KiB | 2025-12-10 19:01:49 |
| tachyon-scenes-0.99_beta6-r2.apk | 1.9 MiB | 2025-12-10 19:01:50 |
| emacs-company-wubi-0_git20161031-r0.apk | 1.1 MiB | 2025-12-12 10:08:18 |
| emacs-company-1.0.2-r0.apk | 159.1 KiB | 2025-12-12 10:08:18 |
| heh-doc-0.6.2-r0.apk | 3.8 KiB | 2025-12-12 14:49:03 |
| heh-0.6.2-r0.apk | 515.7 KiB | 2025-12-12 14:49:03 |
| go-tools-0.40.0-r0.apk | 44.4 MiB | 2025-12-12 15:19:04 |
| py3-python-iptables-1.2.0-r1.apk | 38.2 KiB | 2025-12-12 15:43:30 |
| py3-python-iptables-pyc-1.2.0-r1.apk | 67.6 KiB | 2025-12-12 15:43:30 |
| birdtray-1.11.4-r0.apk | 439.2 KiB | 2025-12-12 17:04:17 |
| qt-creator-18.0.1-r0.apk | 51.8 MiB | 2025-12-12 19:12:55 |
| qt-creator-dbg-18.0.1-r0.apk | 477.5 MiB | 2025-12-12 19:13:44 |
| repo-doc-2.60-r0.apk | 39.3 KiB | 2025-12-12 19:50:00 |
| repo-2.60-r0.apk | 16.6 KiB | 2025-12-12 19:50:00 |
| sqlmap-1.9.12-r0.apk | 6.7 MiB | 2025-12-12 20:05:48 |
| sqlmap-pyc-1.9.12-r0.apk | 1.2 MiB | 2025-12-12 20:05:49 |
| chess-tui-2.0.0-r0.apk | 1.4 MiB | 2025-12-13 01:11:54 |
| chess-tui-doc-2.0.0-r0.apk | 2.0 KiB | 2025-12-13 01:11:54 |
| svls-doc-0.2.14-r0.apk | 2.0 KiB | 2025-12-13 03:00:10 |
| svls-0.2.14-r0.apk | 3.7 MiB | 2025-12-13 03:00:10 |
| perl-x11-xcb-doc-0.24-r0.apk | 13.0 KiB | 2025-12-13 07:35:41 |
| perl-anyevent-dbus-0.31-r0.apk | 3.2 KiB | 2025-12-13 07:35:41 |
| perl-x11-korgwm-doc-5.0-r0.apk | 11.2 KiB | 2025-12-13 07:35:41 |
| perl-xml-descent-doc-1.04-r0.apk | 6.9 KiB | 2025-12-13 07:35:41 |
| perl-x11-xcb-0.24-r0.apk | 157.7 KiB | 2025-12-13 07:35:41 |
| perl-x11-korgwm-5.0-r0.apk | 40.4 KiB | 2025-12-13 07:35:41 |
| perl-anyevent-dbus-doc-0.31-r0.apk | 3.5 KiB | 2025-12-13 07:35:41 |
| perl-xml-descent-1.04-r0.apk | 7.2 KiB | 2025-12-13 07:35:41 |
| perl-xml-tokeparser-0.05-r0.apk | 7.6 KiB | 2025-12-13 07:35:41 |
| perl-net-dbus-doc-1.2.0-r0.apk | 93.8 KiB | 2025-12-13 07:35:41 |
| perl-net-dbus-1.2.0-r0.apk | 92.2 KiB | 2025-12-13 07:35:41 |
| perl-xs-object-magic-0.05-r0.apk | 10.5 KiB | 2025-12-13 07:35:42 |
| perl-xml-tokeparser-doc-0.05-r0.apk | 6.6 KiB | 2025-12-13 07:35:42 |
| perl-xs-object-magic-doc-0.05-r0.apk | 5.1 KiB | 2025-12-13 07:35:42 |
| tpm2-pkcs11-1.9.2-r0.apk | 129.9 KiB | 2025-12-13 16:04:32 |
| mergerfs-doc-2.41.1-r0.apk | 3.1 KiB | 2025-12-13 16:04:32 |
| tpm2-pkcs11-dev-1.9.2-r0.apk | 1.6 KiB | 2025-12-13 16:04:32 |
| mergerfs-2.41.1-r0.apk | 426.8 KiB | 2025-12-13 16:04:32 |
| tpm2-pkcs11-pyc-1.9.2-r0.apk | 69.6 KiB | 2025-12-13 16:04:32 |
| adguardhome-0.107.71-r0.apk | 10.9 MiB | 2025-12-13 16:13:42 |
| adguardhome-openrc-0.107.71-r0.apk | 1.9 KiB | 2025-12-13 16:13:42 |
| tqm-1.18.0-r0.apk | 4.4 MiB | 2025-12-13 17:48:21 |
| kanidm-clients-1.8.5-r0.apk | 3.6 MiB | 2025-12-13 18:57:11 |
| kanidm-1.8.5-r0.apk | 1.0 KiB | 2025-12-13 18:57:11 |
| kanidm-bash-completion-1.8.5-r0.apk | 26.3 KiB | 2025-12-13 18:57:11 |
| kanidm-openrc-1.8.5-r0.apk | 1.8 KiB | 2025-12-13 18:57:11 |
| kanidm-server-1.8.5-r0.apk | 14.9 MiB | 2025-12-13 18:57:13 |
| kanidm-zsh-completion-1.8.5-r0.apk | 36.5 KiB | 2025-12-13 18:57:13 |
| kanidm-unixd-clients-1.8.5-r0.apk | 8.3 MiB | 2025-12-13 18:57:13 |
| sc-controller-pyc-0.5.5-r0.apk | 814.0 KiB | 2025-12-14 08:56:37 |
| sc-controller-0.5.5-r0.apk | 1.3 MiB | 2025-12-14 08:56:37 |
| sc-controller-udev-0.5.5-r0.apk | 1.8 KiB | 2025-12-14 08:56:37 |
| ovhcloud-cli-0.9.0-r0.apk | 7.0 MiB | 2025-12-14 10:05:54 |
| hyprsunset-0.3.3-r1.apk | 147.9 KiB | 2025-12-14 11:02:23 |
| hyprpicker-0.4.5-r1.apk | 125.3 KiB | 2025-12-14 11:02:23 |
| hyprsunset-doc-0.3.3-r1.apk | 2.2 KiB | 2025-12-14 11:02:23 |
| hyprsunset-openrc-0.3.3-r1.apk | 1.5 KiB | 2025-12-14 11:02:23 |
| hyprlock-0.9.2-r0.apk | 474.0 KiB | 2025-12-14 11:02:23 |
| hyprpicker-doc-0.4.5-r1.apk | 3.6 KiB | 2025-12-14 11:02:23 |
| xdg-desktop-portal-hyprland-1.3.11-r0.apk | 354.3 KiB | 2025-12-14 11:02:23 |
| hypridle-0.1.7-r1.apk | 142.5 KiB | 2025-12-14 11:02:23 |
| xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk | 2.2 KiB | 2025-12-14 11:02:23 |
| hypridle-openrc-0.1.7-r1.apk | 1.4 KiB | 2025-12-14 11:02:23 |
| hypridle-doc-0.1.7-r1.apk | 2.1 KiB | 2025-12-14 11:02:23 |
| comrak-0.49.0-r0.apk | 1.0 MiB | 2025-12-14 14:23:28 |
| comrak-doc-0.49.0-r0.apk | 10.4 KiB | 2025-12-14 14:23:28 |
| qownnotes-lang-25.12.5-r0.apk | 4.9 MiB | 2025-12-14 16:16:57 |
| qownnotes-25.12.5-r0.apk | 2.6 MiB | 2025-12-14 16:16:57 |
| ovn-doc-25.09.2-r0.apk | 263.4 KiB | 2025-12-14 22:06:35 |
| ovn-25.09.2-r0.apk | 2.1 MiB | 2025-12-14 22:06:35 |
| ovn-dbg-25.09.2-r0.apk | 6.5 MiB | 2025-12-14 22:06:35 |
| ovn-dev-25.09.2-r0.apk | 1.9 MiB | 2025-12-14 22:06:35 |
| ovn-openrc-25.09.2-r0.apk | 2.1 KiB | 2025-12-14 22:06:35 |
| ssh-get-id-0.2.0-r0.apk | 2.3 MiB | 2025-12-15 03:11:49 |
| ssh-get-id-doc-0.2.0-r0.apk | 2.0 KiB | 2025-12-15 03:11:49 |
| convert2json-bson-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:40 |
| convert2json-cbor-jaq-2.4.1-r0.apk | 227.4 KiB | 2025-12-15 16:19:40 |
| convert2json-cbor-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:40 |
| convert2json-bson-jaq-2.4.1-r0.apk | 266.8 KiB | 2025-12-15 16:19:40 |
| convert2json-bson-json-2.4.1-r0.apk | 256.4 KiB | 2025-12-15 16:19:40 |
| convert2json-2.4.1-r0.apk | 1.1 KiB | 2025-12-15 16:19:40 |
| convert2json-csv-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:40 |
| convert2json-cbor-json-2.4.1-r0.apk | 216.9 KiB | 2025-12-15 16:19:40 |
| convert2json-xml-jaq-2.4.1-r0.apk | 224.2 KiB | 2025-12-15 16:19:41 |
| convert2json-csv-json-2.4.1-r0.apk | 236.2 KiB | 2025-12-15 16:19:41 |
| convert2json-csv-jaq-2.4.1-r0.apk | 247.3 KiB | 2025-12-15 16:19:41 |
| convert2json-xml-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-messagepack-jaq-2.4.1-r0.apk | 225.4 KiB | 2025-12-15 16:19:41 |
| convert2json-messagepack-json-2.4.1-r0.apk | 215.1 KiB | 2025-12-15 16:19:41 |
| convert2json-yaml-json-2.4.1-r0.apk | 285.3 KiB | 2025-12-15 16:19:41 |
| convert2json-doc-2.4.1-r0.apk | 13.1 KiB | 2025-12-15 16:19:41 |
| convert2json-ini-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-rsv-jaq-2.4.1-r0.apk | 188.1 KiB | 2025-12-15 16:19:41 |
| convert2json-plist-jaq-2.4.1-r0.apk | 268.7 KiB | 2025-12-15 16:19:41 |
| convert2json-toml-json-2.4.1-r0.apk | 257.4 KiB | 2025-12-15 16:19:41 |
| convert2json-jaq-2.4.1-r0.apk | 1.1 KiB | 2025-12-15 16:19:41 |
| convert2json-messagepack-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-rsv-json-2.4.1-r0.apk | 176.2 KiB | 2025-12-15 16:19:41 |
| convert2json-rsv-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-plist-json-2.4.1-r0.apk | 259.0 KiB | 2025-12-15 16:19:41 |
| convert2json-toml-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-ini-json-2.4.1-r0.apk | 198.8 KiB | 2025-12-15 16:19:41 |
| convert2json-plist-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-xml-json-2.4.1-r0.apk | 214.2 KiB | 2025-12-15 16:19:41 |
| convert2json-json-2.4.1-r0.apk | 1.1 KiB | 2025-12-15 16:19:41 |
| convert2json-yaml-2.4.1-r0.apk | 1.0 KiB | 2025-12-15 16:19:41 |
| convert2json-ini-jaq-2.4.1-r0.apk | 209.9 KiB | 2025-12-15 16:19:41 |
| convert2json-toml-jaq-2.4.1-r0.apk | 267.8 KiB | 2025-12-15 16:19:41 |
| convert2json-yaml-jaq-2.4.1-r0.apk | 295.9 KiB | 2025-12-15 16:19:41 |
| keepsecret-1.0.0-r0.apk | 139.5 KiB | 2025-12-15 16:23:24 |
| keepsecret-lang-1.0.0-r0.apk | 26.7 KiB | 2025-12-15 16:23:24 |
| py3-beartype-0.22.9-r0.apk | 1.0 MiB | 2025-12-15 18:34:41 |
| py3-beartype-pyc-0.22.9-r0.apk | 744.0 KiB | 2025-12-15 18:34:41 |
| bettercap-2.41.5-r0.apk | 19.0 MiB | 2025-12-16 17:24:39 |
| bettercap-doc-2.41.5-r0.apk | 13.3 KiB | 2025-12-16 17:24:39 |
| dolt-1.79.1-r0.apk | 37.0 MiB | 2025-12-16 17:24:42 |
| ibus-typing-booster-2.29.0-r0.apk | 12.7 MiB | 2025-12-16 17:24:43 |
| ibus-typing-booster-pyc-2.29.0-r0.apk | 1.5 MiB | 2025-12-16 17:24:43 |
| ibus-typing-booster-lang-2.29.0-r0.apk | 316.6 KiB | 2025-12-16 17:24:43 |
| py3-evalidate-2.1.3-r0.apk | 13.4 KiB | 2025-12-16 17:24:44 |
| py3-evalidate-pyc-2.1.3-r0.apk | 11.9 KiB | 2025-12-16 17:24:44 |
| phosh-osk-data-0.42.0-r0.apk | 1.0 KiB | 2025-12-16 18:19:37 |
| phosh-osk-data-de-0.42.0-r0.apk | 64.3 MiB | 2025-12-16 18:19:43 |
| phosh-osk-data-es-0.42.0-r0.apk | 52.1 MiB | 2025-12-16 18:19:48 |
| phosh-osk-data-fi-0.42.0-r0.apk | 63.7 MiB | 2025-12-16 18:19:53 |
| phosh-osk-data-it-0.42.0-r0.apk | 58.0 MiB | 2025-12-16 18:19:58 |
| phosh-osk-data-nl-0.42.0-r0.apk | 53.5 MiB | 2025-12-16 18:20:03 |
| phosh-osk-data-pl-0.42.0-r0.apk | 56.7 MiB | 2025-12-16 18:20:08 |
| phosh-osk-data-pt-0.42.0-r0.apk | 56.7 MiB | 2025-12-16 18:20:13 |
| phosh-osk-data-ru-0.42.0-r0.apk | 19.9 MiB | 2025-12-16 18:20:15 |
| phosh-osk-data-se-0.42.0-r0.apk | 15.1 MiB | 2025-12-16 18:20:16 |
| phosh-osk-data-uk-0.42.0-r0.apk | 16.4 MiB | 2025-12-16 18:20:18 |
| mautrix-linkedin-0.2512.0-r0.apk | 6.1 MiB | 2025-12-16 18:23:16 |
| mautrix-linkedin-openrc-0.2512.0-r0.apk | 1.7 KiB | 2025-12-16 18:23:16 |
| mautrix-linkedin-doc-0.2512.0-r0.apk | 12.9 KiB | 2025-12-16 18:23:16 |
| py3-b2sdk-pyc-2.10.2-r0.apk | 429.2 KiB | 2025-12-16 18:42:13 |
| py3-b2sdk-2.10.2-r0.apk | 227.2 KiB | 2025-12-16 18:42:13 |
| vmlinux.h-6.18-r0.apk | 219.8 KiB | 2025-12-16 22:36:33 |
| nitro-init-doc-0.6-r0.apk | 4.3 KiB | 2025-12-16 23:50:44 |
| nitro-init-0.6-r0.apk | 23.3 KiB | 2025-12-16 23:50:44 |
| php81-ctype-8.1.34-r0.apk | 5.0 KiB | 2025-12-17 02:37:02 |
| php81-embed-8.1.34-r0.apk | 1.9 MiB | 2025-12-17 02:37:02 |
| php81-common-8.1.34-r0.apk | 24.9 KiB | 2025-12-17 02:37:02 |
| php81-bcmath-8.1.34-r0.apk | 20.1 KiB | 2025-12-17 02:37:02 |
| php81-8.1.34-r0.apk | 1.9 MiB | 2025-12-17 02:37:02 |
| php81-calendar-8.1.34-r0.apk | 13.3 KiB | 2025-12-17 02:37:02 |
| php81-doc-8.1.34-r0.apk | 68.1 KiB | 2025-12-17 02:37:02 |
| php81-dev-8.1.34-r0.apk | 938.6 KiB | 2025-12-17 02:37:02 |
| php81-enchant-8.1.34-r0.apk | 8.7 KiB | 2025-12-17 02:37:02 |
| php81-cgi-8.1.34-r0.apk | 1.9 MiB | 2025-12-17 02:37:02 |
| php81-dom-8.1.34-r0.apk | 62.9 KiB | 2025-12-17 02:37:02 |
| php81-apache2-8.1.34-r0.apk | 1.9 MiB | 2025-12-17 02:37:02 |
| php81-dba-8.1.34-r0.apk | 23.7 KiB | 2025-12-17 02:37:02 |
| php81-curl-8.1.34-r0.apk | 36.4 KiB | 2025-12-17 02:37:02 |
| php81-bz2-8.1.34-r0.apk | 10.2 KiB | 2025-12-17 02:37:02 |
| php81-xml-8.1.34-r0.apk | 19.4 KiB | 2025-12-17 02:37:03 |
| php81-ffi-8.1.34-r0.apk | 66.7 KiB | 2025-12-17 02:37:03 |
| php81-xsl-8.1.34-r0.apk | 13.5 KiB | 2025-12-17 02:37:03 |
| php81-xmlreader-8.1.34-r0.apk | 14.1 KiB | 2025-12-17 02:37:03 |
| php81-snmp-8.1.34-r0.apk | 21.1 KiB | 2025-12-17 02:37:03 |
| php81-sqlite3-8.1.34-r0.apk | 21.0 KiB | 2025-12-17 02:37:03 |
| php81-tidy-8.1.34-r0.apk | 18.6 KiB | 2025-12-17 02:37:03 |
| php81-pdo_odbc-8.1.34-r0.apk | 13.0 KiB | 2025-12-17 02:37:03 |
| php81-pdo_dblib-8.1.34-r0.apk | 12.8 KiB | 2025-12-17 02:37:03 |
| php81-fileinfo-8.1.34-r0.apk | 384.2 KiB | 2025-12-17 02:37:03 |
| php81-fpm-8.1.34-r0.apk | 2.0 MiB | 2025-12-17 02:37:03 |
| php81-gd-8.1.34-r0.apk | 148.5 KiB | 2025-12-17 02:37:03 |
| php81-simplexml-8.1.34-r0.apk | 22.9 KiB | 2025-12-17 02:37:03 |
| php81-intl-8.1.34-r0.apk | 144.6 KiB | 2025-12-17 02:37:03 |
| php81-pdo-8.1.34-r0.apk | 42.3 KiB | 2025-12-17 02:37:03 |
| php81-soap-8.1.34-r0.apk | 141.1 KiB | 2025-12-17 02:37:03 |
| php81-iconv-8.1.34-r0.apk | 18.2 KiB | 2025-12-17 02:37:03 |
| php81-imap-8.1.34-r0.apk | 33.4 KiB | 2025-12-17 02:37:03 |
| php81-gmp-8.1.34-r0.apk | 20.4 KiB | 2025-12-17 02:37:03 |
| php81-pspell-8.1.34-r0.apk | 8.0 KiB | 2025-12-17 02:37:03 |
| php81-sysvmsg-8.1.34-r0.apk | 7.5 KiB | 2025-12-17 02:37:03 |
| php81-pdo_sqlite-8.1.34-r0.apk | 13.3 KiB | 2025-12-17 02:37:03 |
| php81-ftp-8.1.34-r0.apk | 23.0 KiB | 2025-12-17 02:37:03 |
| php81-odbc-8.1.34-r0.apk | 23.2 KiB | 2025-12-17 02:37:03 |
| php81-sysvshm-8.1.34-r0.apk | 6.7 KiB | 2025-12-17 02:37:03 |
| php81-pdo_pgsql-8.1.34-r0.apk | 19.4 KiB | 2025-12-17 02:37:03 |
| php81-pdo_mysql-8.1.34-r0.apk | 13.6 KiB | 2025-12-17 02:37:03 |
| php81-mysqli-8.1.34-r0.apk | 40.7 KiB | 2025-12-17 02:37:03 |
| php81-opcache-8.1.34-r0.apk | 73.0 KiB | 2025-12-17 02:37:03 |
| php81-shmop-8.1.34-r0.apk | 6.1 KiB | 2025-12-17 02:37:03 |
| php81-phpdbg-8.1.34-r0.apk | 2.0 MiB | 2025-12-17 02:37:03 |
| php81-sodium-8.1.34-r0.apk | 25.3 KiB | 2025-12-17 02:37:03 |
| php81-pear-8.1.34-r0.apk | 337.6 KiB | 2025-12-17 02:37:03 |
| php81-exif-8.1.34-r0.apk | 30.8 KiB | 2025-12-17 02:37:03 |
| php81-gettext-8.1.34-r0.apk | 5.8 KiB | 2025-12-17 02:37:03 |
| php81-sockets-8.1.34-r0.apk | 36.6 KiB | 2025-12-17 02:37:03 |
| php81-litespeed-8.1.34-r0.apk | 1.9 MiB | 2025-12-17 02:37:03 |
| php81-mbstring-8.1.34-r0.apk | 574.5 KiB | 2025-12-17 02:37:03 |
| php81-phar-8.1.34-r0.apk | 123.9 KiB | 2025-12-17 02:37:03 |
| php81-ldap-8.1.34-r0.apk | 32.0 KiB | 2025-12-17 02:37:03 |
| php81-session-8.1.34-r0.apk | 37.4 KiB | 2025-12-17 02:37:03 |
| php81-mysqlnd-8.1.34-r0.apk | 84.1 KiB | 2025-12-17 02:37:03 |
| php81-tokenizer-8.1.34-r0.apk | 11.8 KiB | 2025-12-17 02:37:03 |
| php81-openssl-8.1.34-r0.apk | 72.4 KiB | 2025-12-17 02:37:03 |
| php81-sysvsem-8.1.34-r0.apk | 5.9 KiB | 2025-12-17 02:37:03 |
| php81-pcntl-8.1.34-r0.apk | 13.6 KiB | 2025-12-17 02:37:03 |
| php81-zip-8.1.34-r0.apk | 25.5 KiB | 2025-12-17 02:37:03 |
| php81-posix-8.1.34-r0.apk | 11.1 KiB | 2025-12-17 02:37:03 |
| php81-pgsql-8.1.34-r0.apk | 45.5 KiB | 2025-12-17 02:37:03 |
| php81-xmlwriter-8.1.34-r0.apk | 11.3 KiB | 2025-12-17 02:37:03 |
| php82-8.2.30-r1.apk | 1.9 MiB | 2025-12-17 20:31:27 |
| php82-calendar-8.2.30-r1.apk | 13.6 KiB | 2025-12-17 20:31:28 |
| php82-bz2-8.2.30-r1.apk | 10.2 KiB | 2025-12-17 20:31:28 |
| php82-ctype-8.2.30-r1.apk | 5.0 KiB | 2025-12-17 20:31:28 |
| php82-apache2-8.2.30-r1.apk | 1.9 MiB | 2025-12-17 20:31:28 |
| php82-curl-8.2.30-r1.apk | 38.5 KiB | 2025-12-17 20:31:28 |
| php82-dba-8.2.30-r1.apk | 24.4 KiB | 2025-12-17 20:31:28 |
| php82-cgi-8.2.30-r1.apk | 1.9 MiB | 2025-12-17 20:31:28 |
| php82-bcmath-8.2.30-r1.apk | 20.1 KiB | 2025-12-17 20:31:28 |
| php82-common-8.2.30-r1.apk | 25.0 KiB | 2025-12-17 20:31:28 |
| php82-exif-8.2.30-r1.apk | 30.8 KiB | 2025-12-17 20:31:32 |
| php82-dom-8.2.30-r1.apk | 64.7 KiB | 2025-12-17 20:31:32 |
| php82-fileinfo-8.2.30-r1.apk | 384.5 KiB | 2025-12-17 20:31:32 |
| php82-embed-8.2.30-r1.apk | 1.9 MiB | 2025-12-17 20:31:32 |
| php82-doc-8.2.30-r1.apk | 72.9 KiB | 2025-12-17 20:31:32 |
| php82-enchant-8.2.30-r1.apk | 8.7 KiB | 2025-12-17 20:31:32 |
| php82-dev-8.2.30-r1.apk | 967.8 KiB | 2025-12-17 20:31:32 |
| php82-ffi-8.2.30-r1.apk | 68.6 KiB | 2025-12-17 20:31:32 |
| php82-dbg-8.2.30-r1.apk | 40.6 MiB | 2025-12-17 20:31:32 |
| php82-pear-8.2.30-r1.apk | 337.6 KiB | 2025-12-17 20:31:33 |
| php82-gd-8.2.30-r1.apk | 143.4 KiB | 2025-12-17 20:31:33 |
| php82-session-8.2.30-r1.apk | 38.2 KiB | 2025-12-17 20:31:33 |
| php82-mysqlnd-8.2.30-r1.apk | 84.2 KiB | 2025-12-17 20:31:33 |
| php82-iconv-8.2.30-r1.apk | 18.6 KiB | 2025-12-17 20:31:33 |
| php82-simplexml-8.2.30-r1.apk | 22.9 KiB | 2025-12-17 20:31:33 |
| php82-openssl-8.2.30-r1.apk | 74.0 KiB | 2025-12-17 20:31:33 |
| php82-zip-8.2.30-r1.apk | 28.0 KiB | 2025-12-17 20:31:33 |
| php82-sysvsem-8.2.30-r1.apk | 5.8 KiB | 2025-12-17 20:31:33 |
| php82-phpdbg-8.2.30-r1.apk | 2.0 MiB | 2025-12-17 20:31:33 |
| php82-pdo_dblib-8.2.30-r1.apk | 12.8 KiB | 2025-12-17 20:31:33 |
| php82-mysqli-8.2.30-r1.apk | 41.8 KiB | 2025-12-17 20:31:33 |
| php82-opcache-8.2.30-r1.apk | 75.4 KiB | 2025-12-17 20:31:33 |
| php82-phar-8.2.30-r1.apk | 124.5 KiB | 2025-12-17 20:31:33 |
| php82-gettext-8.2.30-r1.apk | 5.9 KiB | 2025-12-17 20:31:33 |
| php82-ldap-8.2.30-r1.apk | 32.3 KiB | 2025-12-17 20:31:33 |
| php82-xmlreader-8.2.30-r1.apk | 14.6 KiB | 2025-12-17 20:31:33 |
| php82-pdo_odbc-8.2.30-r1.apk | 13.6 KiB | 2025-12-17 20:31:33 |
| php82-pdo_sqlite-8.2.30-r1.apk | 13.2 KiB | 2025-12-17 20:31:33 |
| php82-sockets-8.2.30-r1.apk | 37.4 KiB | 2025-12-17 20:31:33 |
| php82-sodium-8.2.30-r1.apk | 26.5 KiB | 2025-12-17 20:31:33 |
| php82-gmp-8.2.30-r1.apk | 20.9 KiB | 2025-12-17 20:31:33 |
| php82-tidy-8.2.30-r1.apk | 19.2 KiB | 2025-12-17 20:31:33 |
| php82-xmlwriter-8.2.30-r1.apk | 11.3 KiB | 2025-12-17 20:31:33 |
| php82-pdo-8.2.30-r1.apk | 44.1 KiB | 2025-12-17 20:31:33 |
| php82-posix-8.2.30-r1.apk | 11.1 KiB | 2025-12-17 20:31:33 |
| php82-pgsql-8.2.30-r1.apk | 45.8 KiB | 2025-12-17 20:31:33 |
| php82-sysvmsg-8.2.30-r1.apk | 7.8 KiB | 2025-12-17 20:31:33 |
| php82-ftp-8.2.30-r1.apk | 23.3 KiB | 2025-12-17 20:31:33 |
| php82-sysvshm-8.2.30-r1.apk | 6.8 KiB | 2025-12-17 20:31:33 |
| php82-pcntl-8.2.30-r1.apk | 15.1 KiB | 2025-12-17 20:31:33 |
| php82-pspell-8.2.30-r1.apk | 7.9 KiB | 2025-12-17 20:31:33 |
| php82-tokenizer-8.2.30-r1.apk | 11.8 KiB | 2025-12-17 20:31:33 |
| php82-snmp-8.2.30-r1.apk | 22.1 KiB | 2025-12-17 20:31:33 |
| php82-shmop-8.2.30-r1.apk | 6.1 KiB | 2025-12-17 20:31:33 |
| php82-odbc-8.2.30-r1.apk | 24.1 KiB | 2025-12-17 20:31:33 |
| php82-xsl-8.2.30-r1.apk | 13.5 KiB | 2025-12-17 20:31:33 |
| php82-litespeed-8.2.30-r1.apk | 1.9 MiB | 2025-12-17 20:31:33 |
| php82-xml-8.2.30-r1.apk | 19.6 KiB | 2025-12-17 20:31:33 |
| php82-pdo_mysql-8.2.30-r1.apk | 13.6 KiB | 2025-12-17 20:31:33 |
| php82-sqlite3-8.2.30-r1.apk | 22.3 KiB | 2025-12-17 20:31:33 |
| php82-pdo_pgsql-8.2.30-r1.apk | 19.5 KiB | 2025-12-17 20:31:33 |
| php82-intl-8.2.30-r1.apk | 163.4 KiB | 2025-12-17 20:31:33 |
| php82-soap-8.2.30-r1.apk | 143.7 KiB | 2025-12-17 20:31:33 |
| php82-fpm-8.2.30-r1.apk | 2.0 MiB | 2025-12-17 20:31:33 |
| php82-mbstring-8.2.30-r1.apk | 639.2 KiB | 2025-12-17 20:31:33 |
| php82-imap-8.2.30-r1.apk | 33.5 KiB | 2025-12-17 20:31:33 |
| hunspell-fr-7.7-r0.apk | 1003.0 KiB | 2025-12-17 20:32:28 |
| hunspell-fr-doc-7.7-r0.apk | 2.6 KiB | 2025-12-17 20:32:28 |
| alacritty-graphics-fish-completion-0.16.1-r0.apk | 2.8 KiB | 2025-12-18 00:03:38 |
| alacritty-graphics-zsh-completion-0.16.1-r0.apk | 3.1 KiB | 2025-12-18 00:03:38 |
| alacritty-graphics-bash-completion-0.16.1-r0.apk | 2.5 KiB | 2025-12-18 00:03:38 |
| alacritty-graphics-doc-0.16.1-r0.apk | 40.8 KiB | 2025-12-18 00:03:38 |
| alacritty-graphics-0.16.1-r0.apk | 2.3 MiB | 2025-12-18 00:03:38 |
| py3-mando-doc-0.8.2-r0.apk | 3.9 KiB | 2025-12-18 11:07:41 |
| py3-mando-0.8.2-r0.apk | 21.3 KiB | 2025-12-18 11:07:41 |
| xml2rfc-3.28.1-r3.apk | 351.6 KiB | 2025-12-18 11:07:41 |
| py3-virtualenvwrapper-pyc-6.1.1-r1.apk | 11.5 KiB | 2025-12-18 11:07:41 |
| xml2rfc-pyc-3.28.1-r3.apk | 407.2 KiB | 2025-12-18 11:07:41 |
| py3-virtualenvwrapper-6.1.1-r1.apk | 21.4 KiB | 2025-12-18 11:07:41 |
| py3-mando-pyc-0.8.2-r0.apk | 35.1 KiB | 2025-12-18 11:07:41 |
| lomiri-app-launch-0.1.12-r5.apk | 368.6 KiB | 2025-12-18 14:23:11 |
| mir-2.25.2-r0.apk | 2.5 MiB | 2025-12-18 14:23:11 |
| mir-demos-2.25.2-r0.apk | 154.5 KiB | 2025-12-18 14:23:11 |
| lomiri-trust-store-2.0.2-r14.apk | 1001.9 KiB | 2025-12-18 14:23:11 |
| lomiri-trust-store-dev-2.0.2-r14.apk | 8.9 KiB | 2025-12-18 14:23:11 |
| lomiri-app-launch-dev-0.1.12-r5.apk | 19.8 KiB | 2025-12-18 14:23:11 |
| lomiri-ui-toolkit-lang-1.3.5110-r5.apk | 96.2 KiB | 2025-12-18 14:23:11 |
| lomiri-trust-store-lang-2.0.2-r14.apk | 27.5 KiB | 2025-12-18 14:23:11 |
| lomiri-0.5.0-r4.apk | 4.0 MiB | 2025-12-18 14:23:11 |
| lomiri-ui-toolkit-1.3.5110-r5.apk | 1.3 MiB | 2025-12-18 14:23:11 |
| lomiri-lang-0.5.0-r4.apk | 269.0 KiB | 2025-12-18 14:23:11 |
| lomiri-ui-toolkit-dev-1.3.5110-r5.apk | 167.5 KiB | 2025-12-18 14:23:11 |
| mir-test-tools-2.25.2-r0.apk | 1.3 MiB | 2025-12-18 14:23:12 |
| qtmir-dev-0.7.2_git20250407-r5.apk | 6.4 KiB | 2025-12-18 14:23:12 |
| mir-dev-2.25.2-r0.apk | 8.4 MiB | 2025-12-18 14:23:12 |
| qtmir-0.7.2_git20250407-r5.apk | 540.4 KiB | 2025-12-18 14:23:12 |
| conserver-doc-8.2.7-r0.apk | 27.4 KiB | 2025-12-18 17:45:14 |
| conserver-8.2.7-r0.apk | 130.4 KiB | 2025-12-18 17:45:14 |
| conserver-openrc-8.2.7-r0.apk | 1.4 KiB | 2025-12-18 17:45:14 |
| ntpd-rs-1.6.2-r2.apk | 3.3 MiB | 2025-12-18 17:57:46 |
| ntpd-rs-openrc-1.6.2-r2.apk | 1.7 KiB | 2025-12-18 17:57:46 |
| ntpd-rs-doc-1.6.2-r2.apk | 23.9 KiB | 2025-12-18 17:57:46 |
| goshs-doc-1.1.3-r0.apk | 2.0 KiB | 2025-12-18 21:15:49 |
| goshs-1.1.3-r0.apk | 6.1 MiB | 2025-12-18 21:15:49 |
| hyperrogue-doc-13.1i-r0.apk | 7.7 KiB | 2025-12-19 03:53:09 |
| hyperrogue-13.1i-r0.apk | 83.9 MiB | 2025-12-19 03:53:09 |
| zfs-src-2.4.0-r0.apk | 33.0 MiB | 2025-12-19 08:49:12 |
| lomiri-history-service-0.6-r20.apk | 369.4 KiB | 2025-12-19 11:41:21 |
| lomiri-history-service-dev-0.6-r20.apk | 10.9 KiB | 2025-12-19 11:41:21 |
| lomiri-telephony-service-lang-0.6.2-r1.apk | 108.3 KiB | 2025-12-19 11:41:22 |
| lomiri-telephony-service-0.6.2-r1.apk | 1022.3 KiB | 2025-12-19 11:41:22 |
| trivy-0.68.2-r0.apk | 63.3 MiB | 2025-12-19 11:41:27 |
| chasquid-doc-1.17.0-r0.apk | 10.6 KiB | 2025-12-19 15:57:09 |
| chasquid-1.17.0-r0.apk | 10.9 MiB | 2025-12-19 15:57:09 |
| chasquid-openrc-1.17.0-r0.apk | 1.7 KiB | 2025-12-19 15:57:09 |
| fbdebug-1.0.1-r0.apk | 5.5 KiB | 2025-12-19 21:47:55 |
| php85-pecl-luasandbox-4.1.3-r0.apk | 32.2 KiB | 2025-12-20 11:45:56 |
| gst-video-thumbnailer-1.0_alpha1-r2.apk | 302.9 KiB | 2025-12-21 03:53:04 |
| gst-thumbnailers-1.0_alpha1-r2.apk | 1.0 KiB | 2025-12-21 03:53:04 |
| gst-audio-thumbnailer-1.0_alpha1-r2.apk | 286.5 KiB | 2025-12-21 03:53:04 |
| scenefx-doc-0.4.1-r0.apk | 2.0 KiB | 2025-12-21 03:53:05 |
| py3-apt-pyc-3.1.0-r0.apk | 118.7 KiB | 2025-12-21 03:53:05 |
| py3-apt-lang-3.1.0-r0.apk | 79.3 KiB | 2025-12-21 03:53:05 |
| srb2-2.2.15-r2.apk | 1.9 MiB | 2025-12-21 03:53:05 |
| scenefx-dev-0.4.1-r0.apk | 10.6 KiB | 2025-12-21 03:53:05 |
| scenefx-0.4.1-r0.apk | 59.4 KiB | 2025-12-21 03:53:05 |
| py3-apt-3.1.0-r0.apk | 177.0 KiB | 2025-12-21 03:53:05 |
| srb2-data-2.2.15-r2.apk | 159.6 MiB | 2025-12-21 03:53:19 |
| perl-netaddr-mac-doc-0.99-r0.apk | 7.8 KiB | 2025-12-21 07:47:26 |
| perl-netaddr-mac-0.99-r0.apk | 9.7 KiB | 2025-12-21 07:47:26 |
| smile-lang-2.11.0-r0.apk | 28.0 KiB | 2025-12-21 11:40:46 |
| smile-2.11.0-r0.apk | 873.1 KiB | 2025-12-21 11:40:46 |
| sandbar-0.2_git20250909-r0.apk | 15.4 KiB | 2025-12-21 14:38:21 |
| ty-pyc-0.0.4-r0.apk | 3.6 KiB | 2025-12-21 18:52:18 |
| ty-fish-completion-0.0.4-r0.apk | 2.9 KiB | 2025-12-21 18:52:18 |
| ty-0.0.4-r0.apk | 7.0 MiB | 2025-12-21 18:52:18 |
| ty-bash-completion-0.0.4-r0.apk | 2.3 KiB | 2025-12-21 18:52:18 |
| ty-zsh-completion-0.0.4-r0.apk | 3.2 KiB | 2025-12-21 18:52:18 |
| transito-doc-0.10.0-r0.apk | 755.6 KiB | 2025-12-21 22:46:32 |
| transito-0.10.0-r0.apk | 8.3 MiB | 2025-12-21 22:46:32 |
| microsocks-openrc-1.0.5-r0.apk | 1.6 KiB | 2025-12-21 23:53:37 |
| microsocks-doc-1.0.5-r0.apk | 3.7 KiB | 2025-12-21 23:53:37 |
| microsocks-1.0.5-r0.apk | 8.5 KiB | 2025-12-21 23:53:37 |
| emmylua-check-0.17.0-r0.apk | 2.0 MiB | 2025-12-22 00:15:22 |
| emmylua-doc-cli-0.17.0-r0.apk | 2.5 MiB | 2025-12-22 00:15:22 |
| emmylua-ls-0.17.0-r0.apk | 3.0 MiB | 2025-12-22 00:15:23 |
| emmylua-ls-doc-0.17.0-r0.apk | 36.5 KiB | 2025-12-22 00:15:23 |
| inlyne-fish-completion-0.5.0-r0.apk | 2.2 KiB | 2025-12-22 01:54:40 |
| inlyne-zsh-completion-0.5.0-r0.apk | 2.5 KiB | 2025-12-22 01:54:40 |
| inlyne-bash-completion-0.5.0-r0.apk | 2.1 KiB | 2025-12-22 01:54:40 |
| inlyne-doc-0.5.0-r0.apk | 2.0 KiB | 2025-12-22 01:54:40 |
| inlyne-0.5.0-r0.apk | 7.0 MiB | 2025-12-22 01:54:40 |
| rustscan-2.4.1-r0.apk | 1.6 MiB | 2025-12-22 02:38:54 |
| perl-finance-quote-doc-1.68-r0.apk | 89.6 KiB | 2025-12-22 10:55:03 |
| perl-finance-quote-1.68-r0.apk | 113.6 KiB | 2025-12-22 10:55:03 |
| protoc-gen-go-grpc-1.77.0-r0.apk | 2.2 MiB | 2025-12-22 15:15:30 |
| xone-src-0.5.1-r0.apk | 57.4 KiB | 2025-12-22 16:41:04 |
| fheroes2-1.1.13-r0.apk | 1.8 MiB | 2025-12-22 16:42:28 |
| fheroes2-lang-1.1.13-r0.apk | 1.8 MiB | 2025-12-22 16:42:29 |
| yamkix-0.14.0-r0.apk | 17.1 KiB | 2025-12-22 19:55:49 |
| yamkix-pyc-0.14.0-r0.apk | 19.9 KiB | 2025-12-22 19:55:49 |
| dam-doc-0_git20250728-r0.apk | 2.0 KiB | 2025-12-22 21:23:00 |
| dam-0_git20250728-r0.apk | 13.7 KiB | 2025-12-22 21:23:00 |
| prometheus-fastly-exporter-10.2.0-r0.apk | 4.6 MiB | 2025-12-23 21:04:46 |
| protoc-gen-gorm-1.1.5-r0.apk | 2.4 MiB | 2025-12-24 15:02:31 |
| protoc-gen-bq-schema-3.1.0-r0.apk | 1.6 MiB | 2025-12-24 15:24:43 |
| avahi2dns-0.1.0-r7.apk | 2.4 MiB | 2025-12-24 17:05:49 |
| avahi2dns-openrc-0.1.0-r7.apk | 1.5 KiB | 2025-12-24 17:05:49 |
| valent-libs-1.0.0_alpha49-r0.apk | 138.7 KiB | 2025-12-24 18:31:46 |
| valent-dev-1.0.0_alpha49-r0.apk | 94.1 KiB | 2025-12-24 18:31:46 |
| valent-lang-1.0.0_alpha49-r0.apk | 38.5 KiB | 2025-12-24 18:31:46 |
| valent-1.0.0_alpha49-r0.apk | 363.2 KiB | 2025-12-24 18:31:46 |
| php85-snappy-0.2.3-r0.apk | 5.4 KiB | 2025-12-25 00:04:10 |
| py3-zope-i18nmessageid-8.2-r0.apk | 15.2 KiB | 2025-12-25 12:30:03 |
| py3-zope-i18nmessageid-pyc-8.2-r0.apk | 8.1 KiB | 2025-12-25 12:30:03 |
| noggin-0.1-r23.apk | 1.4 MiB | 2025-12-26 13:20:19 |
| noggin-doc-0.1-r23.apk | 2.7 KiB | 2025-12-26 13:20:19 |
| tomcat9-9.0.112-r0.apk | 6.8 MiB | 2025-12-26 13:20:30 |
| tomcat9-admin-9.0.112-r0.apk | 126.9 KiB | 2025-12-26 13:20:30 |
| tomcat9-doc-9.0.112-r0.apk | 1.7 MiB | 2025-12-26 13:20:33 |
| tomcat9-examples-9.0.112-r0.apk | 438.4 KiB | 2025-12-26 13:20:33 |
| tomcat9-openrc-9.0.112-r0.apk | 4.3 KiB | 2025-12-26 13:20:33 |
| stone-soup-0.33.1-r0.apk | 30.9 MiB | 2025-12-26 14:56:42 |
| rustls-ffi-0.15.0-r0.apk | 1.1 MiB | 2025-12-26 22:40:28 |
| rustls-ffi-dev-0.15.0-r0.apk | 2.4 MiB | 2025-12-26 22:40:28 |
| build-next-bfd-22.0.0_pre20251226-r0.apk | 884.4 KiB | 2025-12-27 10:50:24 |
| build-next-22.0.0_pre20251226-r0.apk | 1.3 KiB | 2025-12-27 10:50:24 |
| clang-next-ccache-22.0.0_pre20251226-r0.apk | 1.4 KiB | 2025-12-27 10:50:25 |
| clang-next-22.0.0_pre20251226-r0.apk | 10.1 MiB | 2025-12-27 10:50:25 |
| build-next-gas-22.0.0_pre20251226-r0.apk | 831.3 KiB | 2025-12-27 10:50:25 |
| build-next-overlay-22.0.0_pre20251226-r0.apk | 2.7 KiB | 2025-12-27 10:50:25 |
| clang-next-dev-22.0.0_pre20251226-r0.apk | 3.9 MiB | 2025-12-27 10:50:26 |
| clang-next-headers-22.0.0_pre20251226-r0.apk | 1012.4 KiB | 2025-12-27 10:50:26 |
| clang-next-libclang-22.0.0_pre20251226-r0.apk | 10.1 MiB | 2025-12-27 10:50:27 |
| clang-next-libs-22.0.0_pre20251226-r0.apk | 17.1 MiB | 2025-12-27 10:50:28 |
| clang-next-rtlib-22.0.0_pre20251226-r0.apk | 7.0 MiB | 2025-12-27 10:50:29 |
| clang-next-rtlib-scudo-22.0.0_pre20251226-r0.apk | 45.3 KiB | 2025-12-27 10:50:29 |
| clang-next-rtlib-atomic-22.0.0_pre20251226-r0.apk | 10.3 KiB | 2025-12-27 10:50:29 |
| clang-next-static-22.0.0_pre20251226-r0.apk | 34.2 MiB | 2025-12-27 10:50:32 |
| flang-next-dev-22.0.0_pre20251226-r0.apk | 1.1 MiB | 2025-12-27 10:50:34 |
| flang-next-22.0.0_pre20251226-r0.apk | 25.9 MiB | 2025-12-27 10:50:34 |
| flang-next-static-22.0.0_pre20251226-r0.apk | 46.9 MiB | 2025-12-27 10:50:38 |
| lld-next-22.0.0_pre20251226-r0.apk | 8.7 KiB | 2025-12-27 10:50:40 |
| lld-next-dev-22.0.0_pre20251226-r0.apk | 18.3 KiB | 2025-12-27 10:50:40 |
| lld-next-libs-22.0.0_pre20251226-r0.apk | 2.1 MiB | 2025-12-27 10:50:40 |
| lldb-next-22.0.0_pre20251226-r0.apk | 8.3 MiB | 2025-12-27 10:50:40 |
| libclc-next-22.0.0_pre20251226-r0.apk | 12.3 MiB | 2025-12-27 10:50:40 |
| lldb-next-dev-22.0.0_pre20251226-r0.apk | 809.8 KiB | 2025-12-27 10:50:41 |
| lldb-next-pyc-22.0.0_pre20251226-r0.apk | 316.7 KiB | 2025-12-27 10:50:41 |
| llvm-next-22.0.0_pre20251226-r0.apk | 26.0 MiB | 2025-12-27 10:50:43 |
| llvm-next-libc++-dev-22.0.0_pre20251226-r0.apk | 1.8 MiB | 2025-12-27 10:50:44 |
| llvm-next-libgcc-22.0.0_pre20251226-r0.apk | 46.2 KiB | 2025-12-27 10:50:44 |
| llvm-next-dev-22.0.0_pre20251226-r0.apk | 9.7 MiB | 2025-12-27 10:50:44 |
| llvm-next-libgcc-dev-22.0.0_pre20251226-r0.apk | 1.7 KiB | 2025-12-27 10:50:44 |
| llvm-next-libc++-22.0.0_pre20251226-r0.apk | 389.5 KiB | 2025-12-27 10:50:44 |
| llvm-next-gtest-22.0.0_pre20251226-r0.apk | 465.1 KiB | 2025-12-27 10:50:44 |
| llvm-next-libc++-static-22.0.0_pre20251226-r0.apk | 703.1 KiB | 2025-12-27 10:50:44 |
| llvm-next-lit-pyc-22.0.0_pre20251226-r0.apk | 165.0 KiB | 2025-12-27 10:50:47 |
| llvm-next-openmp-dev-22.0.0_pre20251226-r0.apk | 133.8 KiB | 2025-12-27 10:50:47 |
| llvm-next-openmp-22.0.0_pre20251226-r0.apk | 632.1 KiB | 2025-12-27 10:50:47 |
| llvm-next-linker-tools-22.0.0_pre20251226-r0.apk | 1.4 MiB | 2025-12-27 10:50:47 |
| llvm-next-libs-22.0.0_pre20251226-r0.apk | 35.6 MiB | 2025-12-27 10:50:47 |
| llvm-next-lit-22.0.0_pre20251226-r0.apk | 96.5 KiB | 2025-12-27 10:50:47 |
| llvm-next-offload-22.0.0_pre20251226-r0.apk | 260.3 KiB | 2025-12-27 10:50:47 |
| llvm-next-offload-dev-22.0.0_pre20251226-r0.apk | 419.3 KiB | 2025-12-27 10:50:47 |
| llvm-next-libunwind-22.0.0_pre20251226-r0.apk | 19.7 KiB | 2025-12-27 10:50:47 |
| llvm-next-libunwind-dev-22.0.0_pre20251226-r0.apk | 20.5 KiB | 2025-12-27 10:50:47 |
| llvm-next-libunwind-static-22.0.0_pre20251226-r0.apk | 26.3 KiB | 2025-12-27 10:50:47 |
| llvm-next-polly-22.0.0_pre20251226-r0.apk | 2.5 MiB | 2025-12-27 10:50:48 |
| llvm-next-runtime-22.0.0_pre20251226-r0.apk | 542.3 KiB | 2025-12-27 10:50:48 |
| llvm-next-test-utils-22.0.0_pre20251226-r0.apk | 785.9 KiB | 2025-12-27 10:50:54 |
| llvm-next-static-22.0.0_pre20251226-r0.apk | 68.6 MiB | 2025-12-27 10:50:54 |
| mlir-next-22.0.0_pre20251226-r0.apk | 22.6 MiB | 2025-12-27 10:50:56 |
| mlir-next-dev-22.0.0_pre20251226-r0.apk | 7.6 MiB | 2025-12-27 10:50:56 |
| mlir-next-libs-22.0.0_pre20251226-r0.apk | 22.1 MiB | 2025-12-27 10:50:58 |
| py3-clang-next-pyc-22.0.0_pre20251226-r0.apk | 61.2 KiB | 2025-12-27 10:51:03 |
| py3-clang-next-22.0.0_pre20251226-r0.apk | 34.1 KiB | 2025-12-27 10:51:03 |
| mlir-next-static-22.0.0_pre20251226-r0.apk | 52.5 MiB | 2025-12-27 10:51:03 |
| ocaml5-llvm-next-22.0.0_pre20251226-r0.apk | 699.0 KiB | 2025-12-27 10:51:03 |
| kronosnet-doc-1.32-r0.apk | 107.8 KiB | 2025-12-27 10:54:45 |
| corosync-doc-3.1.10-r0.apk | 190.3 KiB | 2025-12-27 10:54:45 |
| btcd-0.25.0-r0.apk | 15.4 MiB | 2025-12-27 10:54:45 |
| corosync-dev-3.1.10-r0.apk | 436.9 KiB | 2025-12-27 10:54:45 |
| kronosnet-dev-1.32-r0.apk | 2.5 MiB | 2025-12-27 10:54:45 |
| corosync-3.1.10-r0.apk | 320.3 KiB | 2025-12-27 10:54:45 |
| corosync-openrc-3.1.10-r0.apk | 1.5 KiB | 2025-12-27 10:54:45 |
| kronosnet-1.32-r0.apk | 87.2 KiB | 2025-12-27 10:54:45 |
| distroshelf-1.3.0-r0.apk | 3.6 MiB | 2025-12-27 15:42:51 |
| distroshelf-lang-1.3.0-r0.apk | 37.1 KiB | 2025-12-27 15:42:51 |
| mkosi-pyc-26-r1.apk | 438.2 KiB | 2025-12-27 17:17:51 |
| mkosi-sandbox-26-r1.apk | 13.3 KiB | 2025-12-27 17:17:51 |
| mkosi-doc-26-r1.apk | 74.7 KiB | 2025-12-27 17:17:51 |
| mkosi-26-r1.apk | 282.8 KiB | 2025-12-27 17:17:51 |
| recoll-1.43.9-r0.apk | 3.1 MiB | 2025-12-28 08:01:39 |
| recoll-dev-1.43.9-r0.apk | 54.9 KiB | 2025-12-28 08:01:39 |
| recoll-doc-1.43.9-r0.apk | 33.4 KiB | 2025-12-28 08:01:39 |
| usb-moded-notify-0.3.0-r0.apk | 2.8 KiB | 2025-12-28 18:17:15 |
| usb-moded-notify-systemd-0.3.0-r0.apk | 1.5 KiB | 2025-12-28 18:17:15 |
| php82-pecl-swoole-6.1.6-r0.apk | 955.3 KiB | 2025-12-28 20:31:40 |
| php81-pecl-swoole-6.1.6-r0.apk | 953.9 KiB | 2025-12-28 20:31:40 |
| php81-pecl-swoole-dev-6.1.6-r0.apk | 220.0 KiB | 2025-12-28 20:31:40 |
| php82-pecl-swoole-dev-6.1.6-r0.apk | 220.0 KiB | 2025-12-28 20:31:40 |
| dcmtk-doc-3.7.0-r0.apk | 258.0 KiB | 2025-12-28 20:55:21 |
| dcmtk-3.7.0-r0.apk | 1.3 MiB | 2025-12-28 20:55:21 |
| dcmtk-dev-3.7.0-r0.apk | 1.7 MiB | 2025-12-28 20:55:21 |
| dcmtk-openrc-3.7.0-r0.apk | 1.5 KiB | 2025-12-28 20:55:21 |
| libdcmtk-3.7.0-r0.apk | 6.7 MiB | 2025-12-28 20:55:22 |
| nyuu-0.4.2-r1.apk | 614.4 KiB | 2025-12-29 15:48:06 |
| parpar-0.4.5-r1.apk | 1.4 MiB | 2025-12-29 15:48:06 |
| libsquish-dev-1.15-r0.apk | 4.5 KiB | 2025-12-29 17:39:33 |
| libsquish-doc-1.15-r0.apk | 2.0 KiB | 2025-12-29 17:39:33 |
| libsquish-libs-1.15-r0.apk | 22.0 KiB | 2025-12-29 17:39:33 |
| libsquish-1.15-r0.apk | 994 B | 2025-12-29 17:39:33 |
| rmtfs-systemd-1.1.1-r1.apk | 1.6 KiB | 2025-12-29 19:59:42 |
| rmtfs-openrc-1.1.1-r1.apk | 1.9 KiB | 2025-12-29 19:59:42 |
| rmtfs-udev-1.1.1-r1.apk | 1.4 KiB | 2025-12-29 19:59:42 |
| rmtfs-1.1.1-r1.apk | 15.2 KiB | 2025-12-29 19:59:42 |
| rmtfs-doc-1.1.1-r1.apk | 2.1 KiB | 2025-12-29 19:59:42 |
| py3-r2pipe-doc-5.9.0-r0.apk | 21.5 KiB | 2025-12-29 21:20:42 |
| py3-r2pipe-pyc-5.9.0-r0.apk | 20.9 KiB | 2025-12-29 21:20:42 |
| py3-r2pipe-5.9.0-r0.apk | 11.5 KiB | 2025-12-29 21:20:42 |
| q6voiced-systemd-0.2.1-r0.apk | 1.6 KiB | 2025-12-30 13:28:46 |
| q6voiced-doc-0.2.1-r0.apk | 2.0 KiB | 2025-12-30 13:28:46 |
| q6voiced-openrc-0.2.1-r0.apk | 1.5 KiB | 2025-12-30 13:28:46 |
| q6voiced-0.2.1-r0.apk | 4.8 KiB | 2025-12-30 13:28:46 |
| libigraph-dev-1.0.1-r0.apk | 89.4 KiB | 2025-12-30 13:40:13 |
| libigraph-1.0.1-r0.apk | 2.0 MiB | 2025-12-30 13:40:13 |
| haxe-4.3.3-r2.apk | 10.0 MiB | 2025-12-30 20:52:18 |
| haxe-doc-4.3.3-r2.apk | 7.5 KiB | 2025-12-30 20:52:18 |
| py3-createrepo_c-1.1.4-r1.apk | 43.5 KiB | 2025-12-30 20:58:19 |
| createrepo_c-dev-1.1.4-r1.apk | 30.3 KiB | 2025-12-30 20:58:19 |
| createrepo_c-libs-1.1.4-r1.apk | 89.6 KiB | 2025-12-30 20:58:19 |
| createrepo_c-1.1.4-r1.apk | 50.7 KiB | 2025-12-30 20:58:19 |
| createrepo_c-bash-completion-1.1.4-r1.apk | 2.6 KiB | 2025-12-30 20:58:19 |
| py3-createrepo_c-pyc-1.1.4-r1.apk | 14.3 KiB | 2025-12-30 20:58:19 |
| createrepo_c-doc-1.1.4-r1.apk | 8.4 KiB | 2025-12-30 20:58:19 |
| py3-py-radix-pyc-0.10.0-r11.apk | 10.4 KiB | 2025-12-30 21:01:43 |
| py3-py-radix-0.10.0-r11.apk | 22.2 KiB | 2025-12-30 21:01:43 |
| texlab-5.25.0-r0.apk | 8.8 MiB | 2025-12-30 21:16:03 |
| php84-pecl-runkit7-4.0.0_alpha6-r0.apk | 33.4 KiB | 2025-12-30 21:17:09 |
| php85-pecl-runkit7-4.0.0_alpha6-r0.apk | 33.5 KiB | 2025-12-30 21:17:09 |
| vcmi-1.7.1-r0.apk | 13.7 MiB | 2025-12-31 07:52:11 |
| pwndbg-doc-2025.10.20-r0.apk | 3.5 KiB | 2025-12-31 15:03:52 |
| ropgadget-7.7-r0.apk | 25.7 KiB | 2025-12-31 15:03:52 |
| pwndbg-2025.10.20-r0.apk | 653.8 KiB | 2025-12-31 15:03:52 |
| ropgadget-pyc-7.7-r0.apk | 48.2 KiB | 2025-12-31 15:03:52 |
| pwntools-pyc-4.15.0-r0.apk | 1.7 MiB | 2025-12-31 15:03:52 |
| pwntools-doc-4.15.0-r0.apk | 37.3 KiB | 2025-12-31 15:03:52 |
| pwndbg-pyc-2025.10.20-r0.apk | 1.2 MiB | 2025-12-31 15:03:52 |
| pwntools-4.15.0-r0.apk | 3.2 MiB | 2025-12-31 15:03:52 |
| miracle-wm-dev-0.8.3-r0.apk | 15.2 KiB | 2025-12-31 16:44:15 |
| nfcd-systemd-1.2.4-r0.apk | 1.6 KiB | 2025-12-31 16:44:15 |
| nfcd-dev-1.2.4-r0.apk | 24.3 KiB | 2025-12-31 16:44:15 |
| nfcd-1.2.4-r0.apk | 369.0 KiB | 2025-12-31 16:44:15 |
| miracle-wm-0.8.3-r0.apk | 479.7 KiB | 2025-12-31 16:44:15 |
| lgogdownloader-doc-3.18-r0.apk | 8.2 KiB | 2025-12-31 21:54:49 |
| lgogdownloader-3.18-r0.apk | 373.4 KiB | 2025-12-31 21:54:49 |
| sq-fish-completion-0.48.10-r0.apk | 4.0 KiB | 2026-01-01 05:20:09 |
| sq-doc-0.48.10-r0.apk | 10.5 KiB | 2026-01-01 05:20:09 |
| sq-bash-completion-0.48.10-r0.apk | 5.8 KiB | 2026-01-01 05:20:09 |
| sq-zsh-completion-0.48.10-r0.apk | 3.8 KiB | 2026-01-01 05:20:09 |
| sq-0.48.10-r0.apk | 10.0 MiB | 2026-01-01 05:20:09 |
| tuwunel-openrc-1.4.9.1-r0.apk | 1.7 KiB | 2026-01-01 13:29:12 |
| tuwunel-1.4.9.1-r0.apk | 13.9 MiB | 2026-01-01 13:29:12 |
| fuseiso-20070708-r0.apk | 15.5 KiB | 2026-01-01 19:38:13 |
| fuseiso-doc-20070708-r0.apk | 2.3 KiB | 2026-01-01 19:38:13 |
| freshrss-doc-1.28.0-r0.apk | 911.6 KiB | 2026-01-02 22:19:40 |
| freshrss-openrc-1.28.0-r0.apk | 2.2 KiB | 2026-01-02 22:19:40 |
| freshrss-pgsql-1.28.0-r0.apk | 1.0 KiB | 2026-01-02 22:19:40 |
| freshrss-mysql-1.28.0-r0.apk | 1.0 KiB | 2026-01-02 22:19:40 |
| freshrss-sqlite-1.28.0-r0.apk | 1.0 KiB | 2026-01-02 22:19:40 |
| freshrss-lang-1.28.0-r0.apk | 507.2 KiB | 2026-01-02 22:19:40 |
| libnfcdef-1.1.0-r0.apk | 15.1 KiB | 2026-01-02 22:19:40 |
| freshrss-1.28.0-r0.apk | 1.7 MiB | 2026-01-02 22:19:40 |
| freshrss-themes-1.28.0-r0.apk | 1.5 MiB | 2026-01-02 22:19:40 |
| libnfcdef-dev-1.1.0-r0.apk | 5.7 KiB | 2026-01-02 22:19:40 |
| go-mtpfs-1.0.0-r33.apk | 1.2 MiB | 2026-01-02 22:22:26 |
| pypykatz-pyc-0.6.13-r1.apk | 723.6 KiB | 2026-01-02 22:22:26 |
| pypykatz-0.6.13-r1.apk | 316.0 KiB | 2026-01-02 22:22:26 |
| php81-pecl-amqp-2.2.0-r0.apk | 54.1 KiB | 2026-01-03 04:03:57 |
| php82-pecl-amqp-2.2.0-r0.apk | 54.2 KiB | 2026-01-03 04:03:57 |
| emacs-llama-1.0.3-r0.apk | 12.3 KiB | 2026-01-03 05:42:10 |
| gimp-plugin-gmic-3.6.0-r2.apk | 1.5 MiB | 2026-01-03 16:36:07 |
| gmic-dev-3.6.0-r2.apk | 7.4 KiB | 2026-01-03 16:36:08 |
| gmic-qt-3.6.0-r2.apk | 1.8 MiB | 2026-01-03 16:36:08 |
| gmic-3.6.0-r2.apk | 12.3 MiB | 2026-01-03 16:36:08 |
| gmic-bash-completion-3.6.0-r2.apk | 28.4 KiB | 2026-01-03 16:36:08 |
| gmic-doc-3.6.0-r2.apk | 222.6 KiB | 2026-01-03 16:36:08 |
| gmic-libs-3.6.0-r2.apk | 3.1 MiB | 2026-01-03 16:36:08 |
| identities-0.2.3-r0.apk | 18.3 KiB | 2026-01-03 16:37:02 |
| tree-sitter-make-1.1.1-r0.apk | 38.6 KiB | 2026-01-03 20:55:32 |
| wolfssh-1.4.17-r2.apk | 138.3 KiB | 2026-01-03 23:40:42 |
| wolfssh-dev-1.4.17-r2.apk | 173.0 KiB | 2026-01-03 23:40:42 |
| ruby-hoe-4.5.1-r0.apk | 28.5 KiB | 2026-01-04 00:58:05 |
| ruby-minitest-focus-1.4.1-r0.apk | 6.1 KiB | 2026-01-04 00:59:04 |
| ufw-docker-doc-251123-r0.apk | 13.3 KiB | 2026-01-04 00:59:45 |
| ufw-docker-251123-r0.apk | 9.3 KiB | 2026-01-04 00:59:45 |
| ffmpeg4-dev-4.4.6-r0.apk | 280.5 KiB | 2026-01-04 01:27:17 |
| ffmpeg4-4.4.6-r0.apk | 41.6 KiB | 2026-01-04 01:27:17 |
| ffmpeg4-libavformat-4.4.6-r0.apk | 1.4 MiB | 2026-01-04 01:27:18 |
| ffmpeg4-libswscale-4.4.6-r0.apk | 203.2 KiB | 2026-01-04 01:27:18 |
| ffmpeg4-libavcodec-4.4.6-r0.apk | 7.4 MiB | 2026-01-04 01:27:18 |
| ffmpeg4-libavutil-4.4.6-r0.apk | 338.1 KiB | 2026-01-04 01:27:18 |
| ffmpeg4-libavdevice-4.4.6-r0.apk | 53.7 KiB | 2026-01-04 01:27:18 |
| ffmpeg4-libpostproc-4.4.6-r0.apk | 64.2 KiB | 2026-01-04 01:27:18 |
| ffmpeg4-libswresample-4.4.6-r0.apk | 47.0 KiB | 2026-01-04 01:27:18 |
| ffmpeg4-libavfilter-4.4.6-r0.apk | 1.8 MiB | 2026-01-04 01:27:18 |
| pandora_box-0.18.0-r0.apk | 466.2 KiB | 2026-01-04 13:46:54 |
| tayga-0.9.6-r0.apk | 28.9 KiB | 2026-01-04 14:16:27 |
| tayga-doc-0.9.6-r0.apk | 5.7 KiB | 2026-01-04 14:16:27 |
| rustical-0.11.10-r0.apk | 4.5 MiB | 2026-01-04 14:29:42 |
| octoprint-pisupport-pyc-2025.7.23-r0.apk | 14.5 KiB | 2026-01-04 14:30:56 |
| octoprint-firmwarecheck-pyc-2025.7.23-r0.apk | 17.2 KiB | 2026-01-04 14:30:56 |
| octoprint-pisupport-2025.7.23-r0.apk | 31.2 KiB | 2026-01-04 14:30:56 |
| octoprint-filecheck-2025.7.23-r0.apk | 27.7 KiB | 2026-01-04 14:30:56 |
| octoprint-filecheck-pyc-2025.7.23-r0.apk | 11.4 KiB | 2026-01-04 14:30:56 |
| octoprint-firmwarecheck-2025.7.23-r0.apk | 28.5 KiB | 2026-01-04 14:30:56 |
| bazaar-lang-0.7.2-r0.apk | 242.6 KiB | 2026-01-04 14:54:01 |
| bazaar-0.7.2-r0.apk | 521.9 KiB | 2026-01-04 14:54:01 |
| refine-lang-0.7.0-r0.apk | 31.4 KiB | 2026-01-04 14:54:01 |
| refine-0.7.0-r0.apk | 35.3 KiB | 2026-01-04 14:54:01 |
| bazaar-systemd-0.7.2-r0.apk | 1.5 KiB | 2026-01-04 14:54:01 |
| phosh-tour-0.52.0-r0.apk | 39.5 KiB | 2026-01-04 16:15:16 |
| phosh-tour-systemd-0.52.0-r0.apk | 1.5 KiB | 2026-01-04 16:15:16 |
| phosh-tour-lang-0.52.0-r0.apk | 35.6 KiB | 2026-01-04 16:15:16 |
| tree-sitter-typst-0.11.0-r1.apk | 109.7 KiB | 2026-01-04 16:20:26 |
| biome-2.3.11-r0.apk | 8.4 MiB | 2026-01-04 22:00:55 |
| mangowc-0.10.10-r0.apk | 118.6 KiB | 2026-01-04 22:07:50 |
| comet-0.3.2-r0.apk | 2.9 MiB | 2026-01-05 01:46:50 |
| py3-pyinstrument-5.1.2-r0.apk | 111.2 KiB | 2026-01-05 03:58:59 |
| py3-pyinstrument-pyc-5.1.2-r0.apk | 102.4 KiB | 2026-01-05 03:58:59 |
| rygel-dev-45.1-r0.apk | 42.4 KiB | 2026-01-05 15:36:18 |
| rygel-doc-45.1-r0.apk | 9.4 KiB | 2026-01-05 15:36:18 |
| rygel-lang-45.1-r0.apk | 590.6 KiB | 2026-01-05 15:36:18 |
| rygel-45.1-r0.apk | 815.9 KiB | 2026-01-05 15:36:18 |
| rygel-systemd-45.1-r0.apk | 1.5 KiB | 2026-01-05 15:36:18 |
| protoc-gen-doc-1.5.1-r0.apk | 3.4 MiB | 2026-01-05 17:24:44 |
| protoc-gen-doc-doc-1.5.1-r0.apk | 2.0 KiB | 2026-01-05 17:24:44 |
| r2ghidra-6.0.8-r0.apk | 11.6 MiB | 2026-01-05 18:57:45 |
| uclient-fetch-20251003-r0.apk | 10.3 KiB | 2026-01-05 19:39:49 |
| uclient-20251003-r0.apk | 20.1 KiB | 2026-01-05 19:39:49 |
| uclient-dev-20251003-r0.apk | 3.3 KiB | 2026-01-05 19:39:49 |
| youki-0.5.7-r0.apk | 1.8 MiB | 2026-01-05 20:14:34 |
| youki-dbg-0.5.7-r0.apk | 7.9 MiB | 2026-01-05 20:14:35 |
| katarakt-0.3-r0.apk | 132.3 KiB | 2026-01-05 20:15:29 |
| py3-scrapy-pyc-2.13.4-r0.apk | 520.8 KiB | 2026-01-05 22:28:20 |
| py3-scrapy-2.13.4-r0.apk | 251.5 KiB | 2026-01-05 22:28:20 |
| yaru-gtksourceview-25.10.3-r0.apk | 4.7 KiB | 2026-01-06 05:48:04 |
| yaru-common-25.10.3-r0.apk | 1.0 KiB | 2026-01-06 05:48:04 |
| yaru-icon-theme-prussiangreen-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-25.10.3-r0.apk | 34.8 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-blue-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-bark-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-magenta-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-red-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-mate-25.10.3-r0.apk | 1.3 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-olive-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:48:08 |
| yaru-icon-theme-purple-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:08 |
| yaru-theme-olive-25.10.3-r0.apk | 759.0 KiB | 2026-01-06 05:48:09 |
| yaru-theme-mate-25.10.3-r0.apk | 771.7 KiB | 2026-01-06 05:48:09 |
| yaru-theme-25.10.3-r0.apk | 840.2 KiB | 2026-01-06 05:48:09 |
| yaru-shell-25.10.3-r0.apk | 231.9 KiB | 2026-01-06 05:48:09 |
| yaru-sounds-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:09 |
| yaru-theme-bark-25.10.3-r0.apk | 762.5 KiB | 2026-01-06 05:48:09 |
| yaru-theme-blue-25.10.3-r0.apk | 765.8 KiB | 2026-01-06 05:48:09 |
| yaru-theme-hdpi-25.10.3-r0.apk | 73.0 KiB | 2026-01-06 05:48:09 |
| yaru-theme-prussiangreen-25.10.3-r0.apk | 759.5 KiB | 2026-01-06 05:48:09 |
| yaru-icon-theme-sage-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:09 |
| yaru-icon-theme-wartybrown-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:09 |
| yaru-icon-theme-yellow-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:09 |
| yaru-schemas-25.10.3-r0.apk | 1.6 KiB | 2026-01-06 05:48:09 |
| yaru-theme-magenta-25.10.3-r0.apk | 761.6 KiB | 2026-01-06 05:48:09 |
| yaru-icon-theme-viridian-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:48:09 |
| yaru-theme-wartybrown-25.10.3-r0.apk | 764.0 KiB | 2026-01-06 05:48:10 |
| yaru-theme-viridian-25.10.3-r0.apk | 760.0 KiB | 2026-01-06 05:48:10 |
| yaru-theme-yellow-25.10.3-r0.apk | 766.2 KiB | 2026-01-06 05:48:10 |
| yaru-theme-sage-25.10.3-r0.apk | 762.9 KiB | 2026-01-06 05:48:10 |
| yaru-theme-purple-25.10.3-r0.apk | 756.7 KiB | 2026-01-06 05:48:10 |
| yaru-theme-red-25.10.3-r0.apk | 759.7 KiB | 2026-01-06 05:48:10 |
| katana-doc-1.3.0-r0.apk | 2.0 KiB | 2026-01-06 06:14:16 |
| katana-1.3.0-r0.apk | 15.8 MiB | 2026-01-06 06:14:16 |
| log4cxx-dev-1.5.0-r0.apk | 149.0 KiB | 2026-01-06 07:27:06 |
| log4cxx-1.5.0-r0.apk | 544.3 KiB | 2026-01-06 07:27:06 |
| cobang-lang-2.3.1-r0.apk | 15.4 KiB | 2026-01-06 18:38:51 |
| errands-46.2.10-r0.apk | 84.3 KiB | 2026-01-06 18:38:51 |
| errands-lang-46.2.10-r0.apk | 77.5 KiB | 2026-01-06 18:38:51 |
| cobang-2.3.1-r0.apk | 50.0 KiB | 2026-01-06 18:38:51 |
| kew-3.7.3-r0.apk | 696.8 KiB | 2026-01-06 18:38:51 |
| kew-doc-3.7.3-r0.apk | 3.4 KiB | 2026-01-06 18:38:51 |
| mint-y-icons-1.9.1-r0.apk | 73.1 MiB | 2026-01-06 18:38:58 |
| turntable-lang-0.5.1-r0.apk | 27.2 KiB | 2026-01-06 18:38:58 |
| proverif-utils-2.05-r0.apk | 482.2 KiB | 2026-01-06 18:38:58 |
| sonicradio-0.8.12-r0.apk | 4.2 MiB | 2026-01-06 18:38:58 |
| proverif-examples-2.05-r0.apk | 165.3 KiB | 2026-01-06 18:38:58 |
| proverif-2.05-r0.apk | 1.7 MiB | 2026-01-06 18:38:58 |
| warpinator-2.0.2-r0.apk | 224.3 KiB | 2026-01-06 18:38:58 |
| proverif-emacs-2.05-r0.apk | 2.6 KiB | 2026-01-06 18:38:58 |
| turntable-0.5.1-r0.apk | 250.6 KiB | 2026-01-06 18:38:58 |
| mint-y-icons-doc-1.9.1-r0.apk | 11.7 KiB | 2026-01-06 18:38:58 |
| warpinator-lang-2.0.2-r0.apk | 278.3 KiB | 2026-01-06 18:38:58 |
| warpinator-nemo-2.0.2-r0.apk | 4.3 KiB | 2026-01-06 18:38:58 |
| gradia-1.11.1-r0.apk | 787.5 KiB | 2026-01-06 18:53:08 |
| gradia-dev-1.11.1-r0.apk | 2.3 KiB | 2026-01-06 18:53:08 |
| orage-4.21.0-r0.apk | 603.4 KiB | 2026-01-06 18:53:08 |
| gradia-lang-1.11.1-r0.apk | 63.9 KiB | 2026-01-06 18:53:08 |
| xiccd-0.4.1-r0.apk | 15.0 KiB | 2026-01-06 18:53:09 |
| xiccd-doc-0.4.1-r0.apk | 3.3 KiB | 2026-01-06 18:53:09 |
| orage-lang-4.21.0-r0.apk | 1.2 MiB | 2026-01-06 18:53:09 |
| rizin-cutter-2.4.1-r1.apk | 2.7 MiB | 2026-01-06 19:07:52 |
| rizin-cutter-dev-2.4.1-r1.apk | 102.9 KiB | 2026-01-06 19:07:52 |
| coldbrew-1.0-r0.apk | 3.6 KiB | 2026-01-06 20:15:46 |
| formiko-pyc-1.5.0-r1.apk | 61.2 KiB | 2026-01-07 10:30:57 |
| formiko-1.5.0-r1.apk | 106.7 KiB | 2026-01-07 10:30:57 |
| formiko-doc-1.5.0-r1.apk | 8.3 KiB | 2026-01-07 10:30:57 |
| sdl3_image-dev-3.2.6-r0.apk | 11.9 KiB | 2026-01-07 10:55:20 |
| sdl3_image-doc-3.2.6-r0.apk | 1.9 KiB | 2026-01-07 10:55:20 |
| sdl3_image-3.2.6-r0.apk | 79.8 KiB | 2026-01-07 10:55:20 |
| kcbench-0.9.14-r0.apk | 36.9 KiB | 2026-01-07 16:43:05 |
| kcbench-doc-0.9.14-r0.apk | 20.0 KiB | 2026-01-07 16:43:05 |
| melange-bash-completion-0.37.3-r0.apk | 6.4 KiB | 2026-01-07 16:43:07 |
| melange-fish-completion-0.37.3-r0.apk | 4.1 KiB | 2026-01-07 16:43:07 |
| melange-0.37.3-r0.apk | 11.7 MiB | 2026-01-07 16:43:07 |
| melange-zsh-completion-0.37.3-r0.apk | 3.8 KiB | 2026-01-07 16:43:07 |
| dnscontrol-4.30.0-r0.apk | 16.3 MiB | 2026-01-07 22:30:15 |
| dnscontrol-doc-4.30.0-r0.apk | 2.0 KiB | 2026-01-07 22:30:15 |
| perl-http-xsheaders-0.500000-r0.apk | 23.8 KiB | 2026-01-08 08:19:31 |
| perl-protocol-redis-faster-0.004-r0.apk | 2.0 KiB | 2026-01-08 08:19:31 |
| perl-http-xsheaders-doc-0.500000-r0.apk | 6.1 KiB | 2026-01-08 08:19:31 |
| perl-protocol-redis-faster-doc-0.004-r0.apk | 2.8 KiB | 2026-01-08 08:19:31 |
| py3-iterable-io-pyc-1.0.1-r0.apk | 5.1 KiB | 2026-01-08 13:53:43 |
| py3-iterable-io-1.0.1-r0.apk | 5.7 KiB | 2026-01-08 13:53:43 |
| sshm-1.10.0-r0.apk | 3.8 MiB | 2026-01-08 13:56:05 |
| sshm-doc-1.10.0-r0.apk | 2.0 KiB | 2026-01-08 13:56:05 |
| amber-0.5.1_alpha-r0.apk | 915.6 KiB | 2026-01-08 14:09:58 |
| mint-y-theme-metacity-2.3.7-r0.apk | 54.7 KiB | 2026-01-08 14:09:59 |
| mint-y-theme-2.3.7-r0.apk | 3.3 KiB | 2026-01-08 14:09:59 |
| mint-themes-2.3.7-r0.apk | 3.8 MiB | 2026-01-08 14:09:59 |
| mint-x-theme-gtk4-2.3.7-r0.apk | 502.9 KiB | 2026-01-08 14:09:59 |
| mint-x-theme-xfwm4-2.3.7-r0.apk | 31.0 KiB | 2026-01-08 14:09:59 |
| mint-y-theme-xfwm4-2.3.7-r0.apk | 205.4 KiB | 2026-01-08 14:09:59 |
| mint-themes-doc-2.3.7-r0.apk | 14.5 KiB | 2026-01-08 14:09:59 |
| mint-x-theme-gtk3-2.3.7-r0.apk | 597.1 KiB | 2026-01-08 14:09:59 |
| mint-x-theme-gtk2-2.3.7-r0.apk | 452.4 KiB | 2026-01-08 14:09:59 |
| openterface-qt-0.5.9-r0.apk | 1.1 MiB | 2026-01-08 14:09:59 |
| mint-y-theme-gtk2-2.3.7-r0.apk | 596.8 KiB | 2026-01-08 14:09:59 |
| mint-x-theme-metacity-2.3.7-r0.apk | 5.8 KiB | 2026-01-08 14:09:59 |
| mint-y-theme-gtk3-2.3.7-r0.apk | 2.0 MiB | 2026-01-08 14:09:59 |
| mint-y-theme-gtk4-2.3.7-r0.apk | 1.7 MiB | 2026-01-08 14:09:59 |
| mint-x-theme-2.3.7-r0.apk | 2.5 KiB | 2026-01-08 14:09:59 |
| m2r2-pyc-0.3.4-r0.apk | 15.2 KiB | 2026-01-08 17:32:25 |
| m2r2-0.3.4-r0.apk | 12.1 KiB | 2026-01-08 17:32:25 |
| stalwart-cli-0.15.3-r2.apk | 2.6 MiB | 2026-01-08 21:06:15 |
| stalwart-mail-0.15.3-r2.apk | 20.3 MiB | 2026-01-08 21:06:17 |
| stalwart-mail-openrc-0.15.3-r2.apk | 1.8 KiB | 2026-01-08 21:06:17 |
| py3-xapp-3.0.1-r0.apk | 72.2 KiB | 2026-01-08 21:10:39 |
| timeshift-25.12.4-r0.apk | 471.7 KiB | 2026-01-08 21:42:55 |
| timeshift-doc-25.12.4-r0.apk | 2.9 KiB | 2026-01-08 21:42:55 |
| timeshift-lang-25.12.4-r0.apk | 1.0 MiB | 2026-01-08 21:42:55 |
| clatd-2.1.0-r0.apk | 14.4 KiB | 2026-01-08 21:57:26 |
| spacenavd-1.3.1-r0.apk | 33.2 KiB | 2026-01-08 22:51:15 |
| libtsm-dev-4.3.0-r0.apk | 7.8 KiB | 2026-01-09 01:05:07 |
| cargo-udeps-0.1.60-r0.apk | 5.2 MiB | 2026-01-09 01:05:07 |
| cargo-udeps-doc-0.1.60-r0.apk | 7.2 KiB | 2026-01-09 01:05:07 |
| py3-truststore-0.10.4-r0.apk | 17.6 KiB | 2026-01-09 01:05:07 |
| libtsm-4.3.0-r0.apk | 26.6 KiB | 2026-01-09 01:05:07 |
| py3-truststore-pyc-0.10.4-r0.apk | 25.5 KiB | 2026-01-09 01:05:07 |
| appimagetool-1.9.1-r0.apk | 38.6 KiB | 2026-01-09 09:13:02 |
| kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 14.9 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 14.2 KiB | 2026-01-09 16:38:42 |
| kodi-inputstream-adaptive-21.5.9-r0.apk | 1.1 MiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 17.1 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 71.1 KiB | 2026-01-09 16:38:42 |
| kodi-audioencoder-vorbis-20.2.0-r1.apk | 32.9 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1.3 MiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-frodo-0.0.1.25-r0.apk | 157.9 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-atari800-3.1.0.28-r0.apk | 238.0 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 13.8 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 51.2 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 19.9 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 177.2 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 23.1 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 323.7 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 61.3 KiB | 2026-01-09 16:38:42 |
| kodi-audioencoder-flac-20.2.0-r1.apk | 41.2 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 12.2 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 12.9 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-20.1.0-r0.apk | 117.4 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk | 18.3 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-theodore-0.0.1.32-r0.apk | 13.4 KiB | 2026-01-09 16:38:42 |
| kodi-audioencoder-lame-20.3.0-r1.apk | 90.3 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-mgba-0.11.0.44-r0.apk | 16.7 KiB | 2026-01-09 16:38:42 |
| kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk | 17.2 KiB | 2026-01-09 16:38:42 |
| kodi-audioencoder-wav-20.2.0-r1.apk | 24.9 KiB | 2026-01-09 16:38:42 |
| kodi-vfs-sacd-20.1.0-r1.apk | 98.3 KiB | 2026-01-09 16:38:43 |
| kodi-inputstream-ffmpegdirect-21.3.7-r0.apk | 358.1 KiB | 2026-01-09 16:38:43 |
| kodi-peripheral-joystick-20.1.9-r0.apk | 215.8 KiB | 2026-01-09 16:38:43 |
| kodi-pvr-iptvsimple-21.10.2-r0.apk | 935.3 KiB | 2026-01-09 16:38:43 |
| kodi-vfs-sftp-20.2.0-r1.apk | 53.2 KiB | 2026-01-09 16:38:43 |
| kodi-vfs-libarchive-21.0.2-r0.apk | 115.8 KiB | 2026-01-09 16:38:43 |
| kodi-inputstream-rtmp-21.1.2-r0.apk | 88.5 KiB | 2026-01-09 16:38:43 |
| kodi-vfs-rar-20.1.0-r1.apk | 409.7 KiB | 2026-01-09 16:38:43 |
| kodi-pvr-hts-21.2.6-r0.apk | 308.9 KiB | 2026-01-09 16:38:43 |
| onioncat-doc-4.11.0-r1.apk | 18.9 KiB | 2026-01-09 16:54:13 |
| onioncat-4.11.0-r1.apk | 63.7 KiB | 2026-01-09 16:54:13 |
| py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 38.6 KiB | 2026-01-09 18:55:48 |
| py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 23.5 KiB | 2026-01-09 18:55:48 |
| theforceengine-1.09.540-r2.apk | 7.0 MiB | 2026-01-09 20:21:40 |
| darkradiant-lang-3.9.0-r1.apk | 37.0 KiB | 2026-01-09 20:21:40 |
| darkradiant-3.9.0-r1.apk | 9.3 MiB | 2026-01-09 20:21:40 |
| darkradiant-doc-3.9.0-r1.apk | 2.2 MiB | 2026-01-09 20:21:40 |
| theforceengine-doc-1.09.540-r2.apk | 6.3 MiB | 2026-01-09 20:21:41 |
| vice-3.9-r3.apk | 13.6 MiB | 2026-01-09 20:21:42 |
| k2-0_git20250903-r0.apk | 81.9 KiB | 2026-01-09 22:14:14 |
| xisxwayland-2-r2.apk | 4.1 KiB | 2026-01-09 22:29:23 |
| xisxwayland-doc-2-r2.apk | 1.8 KiB | 2026-01-09 22:29:23 |
| libdiscid-dev-0.6.5-r0.apk | 6.4 KiB | 2026-01-09 23:21:02 |
| libdiscid-0.6.5-r0.apk | 11.7 KiB | 2026-01-09 23:21:02 |
| spnavcfg-1.3-r0.apk | 38.1 KiB | 2026-01-09 23:45:17 |
| ruby-dry-inflector-1.3.0-r0.apk | 8.0 KiB | 2026-01-10 03:46:05 |
| ruby-dry-inflector-doc-1.3.0-r0.apk | 2.0 KiB | 2026-01-10 03:46:05 |
| ruby-path_expander-2.0.1-r0.apk | 6.4 KiB | 2026-01-10 05:04:35 |
| neocmakelsp-zsh-completion-0.9.1-r0.apk | 1.7 KiB | 2026-01-10 07:03:01 |
| neocmakelsp-doc-0.9.1-r0.apk | 5.6 KiB | 2026-01-10 07:03:01 |
| neocmakelsp-fish-completion-0.9.1-r0.apk | 1.4 KiB | 2026-01-10 07:03:01 |
| neocmakelsp-0.9.1-r0.apk | 1.7 MiB | 2026-01-10 07:03:01 |
| neocmakelsp-bash-completion-0.9.1-r0.apk | 1.7 KiB | 2026-01-10 07:03:01 |
| ruby-minitest-autotest-1.2.1-r0.apk | 14.0 KiB | 2026-01-10 13:09:27 |
| APKINDEX.tar.gz | 735.0 KiB | 2026-01-10 15:31:57 |
| cpp-httplib-doc-0.30.1-r0.apk | 13.6 KiB | 2026-01-10 15:31:58 |
| cpp-httplib-0.30.1-r0.apk | 95.5 KiB | 2026-01-10 15:31:58 |