| Parent directory/ | - | - |
| lua-psl-0.3-r0.apk | 1.1 KiB | 2024-10-25 19:07:38 |
| lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| lua-lcurses-9.0.0-r0.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| msgpuck-2.0-r1.apk | 1.2 KiB | 2024-10-25 19:07:51 |
| luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| libnest2d-0.4-r7.apk | 1.2 KiB | 2025-02-06 04:42:24 |
| linuxptp-4.4-r0.apk | 1.2 KiB | 2024-11-20 02:43:39 |
| libqofono-0.124-r0.apk | 1.2 KiB | 2025-01-10 15:33:43 |
| simpleble-0.10.4-r0.apk | 1.2 KiB | 2026-01-13 03:12:45 |
| restinio-0.6.19-r1.apk | 1.2 KiB | 2024-12-14 19:23:33 |
| font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-10-25 19:07:12 |
| lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-10-25 19:07:38 |
| arc-theme-20221218-r1.apk | 1.3 KiB | 2026-01-21 19:05:21 |
| tofutf-0.10.0-r11.apk | 1.3 KiB | 2026-01-19 00:22:34 |
| freshrss-mysql-1.28.0-r0.apk | 1.3 KiB | 2026-01-02 01:39:22 |
| font-cascadia-2407.24-r1.apk | 1.3 KiB | 2025-05-27 14:36:35 |
| lua-cmark-0.31.1-r0.apk | 1.3 KiB | 2026-01-20 12:30:47 |
| otf-0.4.9-r1.apk | 1.3 KiB | 2026-01-19 00:22:27 |
| gst-thumbnailers-1.0_alpha3-r0.apk | 1.3 KiB | 2026-01-19 00:22:20 |
| yaru-common-25.10.3-r0.apk | 1.3 KiB | 2026-01-06 05:46:08 |
| freshrss-sqlite-1.28.0-r0.apk | 1.3 KiB | 2026-01-02 01:39:22 |
| freshrss-pgsql-1.28.0-r0.apk | 1.3 KiB | 2026-01-02 01:39:22 |
| phosh-osk-data-0.42.0-r0.apk | 1.3 KiB | 2025-12-16 16:45:08 |
| convert2json-rsv-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-ini-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-plist-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-bson-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-csv-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-xml-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-cbor-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-toml-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| baikal-mysql-0.10.1-r1.apk | 1.3 KiB | 2025-05-27 21:41:00 |
| baikal-pgsql-0.10.1-r1.apk | 1.3 KiB | 2025-05-27 21:41:00 |
| convert2json-yaml-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-messagepack-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| nb-full-7.24.0-r0.apk | 1.3 KiB | 2026-01-21 19:05:22 |
| lua5.1-mqtt-3.4.3-r0.apk | 1.3 KiB | 2026-01-23 00:24:42 |
| ruby-build-runtime-20250925-r0.apk | 1.3 KiB | 2025-09-28 15:45:37 |
| convert2json-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| musikcube-plugin-all-3.0.5-r0.apk | 1.3 KiB | 2025-09-27 21:20:19 |
| convert2json-json-2.4.1-r0.apk | 1.3 KiB | 2025-12-15 16:19:08 |
| convert2json-jaq-2.4.1-r0.apk | 1.4 KiB | 2025-12-15 16:19:08 |
| dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-10-25 19:07:06 |
| lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-10-25 19:07:38 |
| logc-libs-0.1.0-r0.apk | 1.4 KiB | 2024-10-25 19:07:37 |
| baikal-sqlite-0.10.1-r1.apk | 1.4 KiB | 2025-05-27 21:41:00 |
| nextpnr-0.7-r0.apk | 1.4 KiB | 2024-10-25 19:07:52 |
| libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-10-25 19:07:35 |
| prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-10-25 19:08:13 |
| font-monaspace-1.101-r0.apk | 1.5 KiB | 2024-10-25 19:07:13 |
| aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-10-25 19:05:58 |
| lua-luastatic-0.0.12-r1.apk | 1.5 KiB | 2024-10-25 19:07:38 |
| qoi-0.0.0_git20230312-r0.apk | 1.5 KiB | 2024-10-25 19:08:21 |
| termcolor-2.1.0-r0.apk | 1.5 KiB | 2024-10-25 19:08:54 |
| biboumi-doc-9.0-r9.apk | 1.5 KiB | 2025-10-08 10:22:39 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1.5 KiB | 2025-09-28 22:29:30 |
| remake-make-1.5-r1.apk | 1.5 KiB | 2024-10-25 19:08:23 |
| 66-init-0.8.2.1-r0.apk | 1.5 KiB | 2025-06-03 19:11:19 |
| qt5ct-dev-1.9-r0.apk | 1.5 KiB | 2025-11-20 03:26:01 |
| foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-10-25 19:07:13 |
| android-file-transfer-dev-4.5-r0.apk | 1.6 KiB | 2025-06-24 23:02:51 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1.6 KiB | 2025-10-20 19:44:32 |
| libopensles-standalone-dev-0_git20250913-r0.apk | 1.6 KiB | 2025-09-22 14:14:58 |
| nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-10-25 19:07:55 |
| throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-12-15 19:22:54 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-10-25 19:08:13 |
| repgrep-bash-completion-0.15.0-r0.apk | 1.6 KiB | 2024-10-25 19:08:23 |
| neocmakelsp-fish-completion-0.9.1-r0.apk | 1.6 KiB | 2026-01-10 07:00:34 |
| pigpio-openrc-79-r4.apk | 1.6 KiB | 2024-10-25 19:08:11 |
| py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-10-25 19:08:15 |
| repgrep-zsh-completion-0.15.0-r0.apk | 1.6 KiB | 2024-10-25 19:08:23 |
| pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-10-25 19:08:11 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-10-25 19:09:06 |
| barman-bash-completion-3.17.0-r0.apk | 1.6 KiB | 2026-01-21 19:05:21 |
| aprilsh-0.7.12-r11.apk | 1.6 KiB | 2026-01-19 00:22:14 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-06-20 04:10:15 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-10-25 19:09:07 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-06-20 04:10:15 |
| pneink-theme-doc-1.3-r0.apk | 1.6 KiB | 2025-07-30 04:56:00 |
| wf-shell-dev-0.9.0-r0.apk | 1.6 KiB | 2025-02-27 20:17:05 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1.7 KiB | 2025-06-20 04:10:15 |
| pd-mapper-openrc-1.1-r0.apk | 1.7 KiB | 2026-01-13 00:01:57 |
| dstask-zsh-completion-0.27-r5.apk | 1.7 KiB | 2026-01-19 00:22:18 |
| moosefs-master-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-06-20 04:10:15 |
| conserver-openrc-8.2.7-r0.apk | 1.7 KiB | 2025-12-18 17:47:09 |
| rmtfs-udev-1.1.1-r2.apk | 1.7 KiB | 2026-01-19 00:22:30 |
| prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk | 1.7 KiB | 2026-01-19 00:22:28 |
| gesture-openrc-0.8-r0.apk | 1.7 KiB | 2026-01-19 14:19:49 |
| dstask-fish-completion-0.27-r5.apk | 1.7 KiB | 2026-01-19 00:22:18 |
| materia-20210322-r4.apk | 1.7 KiB | 2026-01-20 04:56:24 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-06-20 04:10:15 |
| ruuvi-prometheus-openrc-0.1.9-r8.apk | 1.7 KiB | 2026-01-19 00:22:30 |
| repowerd-openrc-2023.07-r3.apk | 1.7 KiB | 2025-02-22 12:17:59 |
| sssd-openrc-2.11.1-r2.apk | 1.7 KiB | 2025-11-20 22:09:06 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-06-20 04:10:15 |
| oils-for-unix-bash-0.35.0-r0.apk | 1.7 KiB | 2025-09-28 22:29:30 |
| bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-10-25 19:05:59 |
| monopd-openrc-0.10.4-r0.apk | 1.7 KiB | 2025-01-11 11:11:30 |
| hiawatha-openrc-11.6-r1.apk | 1.7 KiB | 2025-05-27 11:06:54 |
| efl-gdb-1.28.1-r2.apk | 1.7 KiB | 2025-03-23 15:03:53 |
| 3proxy-openrc-0.9.5-r1.apk | 1.7 KiB | 2025-11-17 15:33:15 |
| libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-10-25 19:07:35 |
| rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-10-25 19:08:23 |
| materia-dark-20210322-r4.apk | 1.7 KiB | 2026-01-20 04:56:24 |
| materia-compact-20210322-r4.apk | 1.7 KiB | 2026-01-20 04:56:24 |
| interception-tools-openrc-0.6.8-r3.apk | 1.7 KiB | 2025-10-12 14:19:47 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1.7 KiB | 2025-08-28 21:08:04 |
| fastd-openrc-23-r0.apk | 1.7 KiB | 2025-01-27 21:33:07 |
| libvdpau-tegra-udev-0_git20210517-r0.apk | 1.7 KiB | 2025-12-05 12:44:42 |
| fileshelter-openrc-6.2.0-r4.apk | 1.7 KiB | 2025-09-27 22:22:02 |
| hypridle-openrc-0.1.7-r1.apk | 1.7 KiB | 2025-12-14 10:57:44 |
| nautilus-python-dev-4.0.1-r0.apk | 1.7 KiB | 2025-10-12 21:54:21 |
| materia-dark-compact-20210322-r4.apk | 1.7 KiB | 2026-01-20 04:56:24 |
| deviced-openrc-0_git20250427-r0.apk | 1.7 KiB | 2025-07-05 20:03:55 |
| neard-openrc-0.19-r1.apk | 1.7 KiB | 2026-01-19 00:22:26 |
| remco-openrc-0.12.5-r6.apk | 1.7 KiB | 2026-01-19 00:22:30 |
| fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-10-25 19:07:15 |
| pd-mapper-systemd-1.1-r0.apk | 1.7 KiB | 2026-01-13 00:01:57 |
| lutgen-zsh-completion-1.0.1-r0.apk | 1.7 KiB | 2025-11-20 03:26:01 |
| i3bar-river-openrc-1.1.0-r1.apk | 1.7 KiB | 2025-10-29 22:52:25 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-10-25 19:08:13 |
| pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-10-25 19:08:14 |
| razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-10-25 19:08:22 |
| zrepl-openrc-0.6.1-r17.apk | 1.7 KiB | 2026-01-19 00:22:38 |
| imapgoose-openrc-0.4.1-r2.apk | 1.7 KiB | 2026-01-19 00:22:22 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-10-25 19:09:06 |
| ipp-usb-openrc-0.9.30-r6.apk | 1.7 KiB | 2026-01-19 00:22:22 |
| infnoise-openrc-0.3.3-r0.apk | 1.7 KiB | 2025-05-26 08:58:44 |
| speedtest-go-openrc-1.1.5-r21.apk | 1.7 KiB | 2026-01-19 00:22:31 |
| taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1.7 KiB | 2025-03-26 10:25:04 |
| arc-20221218-r1.apk | 1.7 KiB | 2026-01-21 19:05:21 |
| arc-dark-20221218-r1.apk | 1.7 KiB | 2026-01-21 19:05:21 |
| lutgen-bash-completion-1.0.1-r0.apk | 1.7 KiB | 2025-11-20 03:26:01 |
| rygel-systemd-45.1-r0.apk | 1.7 KiB | 2026-01-05 15:35:46 |
| arc-darker-20221218-r1.apk | 1.7 KiB | 2026-01-21 19:05:21 |
| 81voltd-systemd-1.1.0-r0.apk | 1.7 KiB | 2026-01-11 18:16:16 |
| tuptime-openrc-5.2.4-r2.apk | 1.7 KiB | 2025-09-09 13:17:38 |
| dcmtk-openrc-3.7.0-r0.apk | 1.7 KiB | 2025-12-28 20:54:41 |
| 81voltd-openrc-1.1.0-r0.apk | 1.7 KiB | 2026-01-11 18:16:16 |
| arc-lighter-20221218-r1.apk | 1.7 KiB | 2026-01-21 19:05:21 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.7 KiB | 2024-10-25 19:08:07 |
| vcstool-bash-completion-0.3.0-r5.apk | 1.8 KiB | 2024-10-25 19:09:06 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1.8 KiB | 2025-11-17 15:33:27 |
| hyprsunset-openrc-0.3.3-r1.apk | 1.8 KiB | 2025-12-14 10:57:44 |
| octoprint-openrc-1.11.5-r0.apk | 1.8 KiB | 2026-01-19 00:22:27 |
| bionic_translation-dev-0_git20251125-r0.apk | 1.8 KiB | 2026-01-08 12:54:15 |
| kopia-bash-completion-0.22.3-r1.apk | 1.8 KiB | 2026-01-19 00:22:24 |
| prosody-mod-block_registrations-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 19:08:13 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 19:08:13 |
| otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-10-25 19:08:04 |
| phosh-tour-systemd-0.52.0-r0.apk | 1.8 KiB | 2026-01-04 16:13:36 |
| xdg-native-messaging-proxy-systemd-0.1.0-r0.apk | 1.8 KiB | 2025-11-27 14:19:39 |
| syncwhen-systemd-0.3-r0.apk | 1.8 KiB | 2025-11-01 17:26:12 |
| geodns-openrc-3.3.0-r19.apk | 1.8 KiB | 2026-01-19 00:22:19 |
| imapgoose-systemd-0.4.1-r2.apk | 1.8 KiB | 2026-01-19 00:22:22 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1.8 KiB | 2025-11-17 15:33:23 |
| usb-moded-notify-systemd-0.3.0-r0.apk | 1.8 KiB | 2025-12-28 18:16:52 |
| corosync-openrc-3.1.10-r0.apk | 1.8 KiB | 2025-12-27 10:37:30 |
| docker-volume-local-persist-openrc-1.3.0-r39.apk | 1.8 KiB | 2026-01-19 00:22:18 |
| lutgen-fish-completion-1.0.1-r0.apk | 1.8 KiB | 2025-11-20 03:26:01 |
| paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1.8 KiB | 2025-05-28 14:23:56 |
| peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-10-25 19:08:07 |
| kopia-zsh-completion-0.22.3-r1.apk | 1.8 KiB | 2026-01-19 00:22:24 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-10-25 19:07:55 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.8 KiB | 2025-09-29 06:12:46 |
| espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-10-25 19:07:10 |
| otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-10-25 19:08:04 |
| cloudflared-openrc-2025.11.1-r12.apk | 1.8 KiB | 2026-01-19 00:22:16 |
| ghq-bash-completion-1.8.0-r7.apk | 1.8 KiB | 2026-01-19 00:22:19 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-10-25 19:08:46 |
| hwatch-fish-completion-0.3.11-r0.apk | 1.8 KiB | 2024-10-25 19:07:25 |
| mediascanner2-systemd-0.118-r4.apk | 1.8 KiB | 2025-11-17 15:33:23 |
| cliphist-fzf-0.7.0-r2.apk | 1.8 KiB | 2026-01-19 00:22:16 |
| piping-server-openrc-0.18.0-r0.apk | 1.8 KiB | 2024-10-25 19:08:11 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.8 KiB | 2025-09-29 06:12:46 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-10-25 19:07:25 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.8 KiB | 2025-05-26 09:05:10 |
| crowdsec-openrc-1.7.4-r1.apk | 1.8 KiB | 2026-01-19 00:22:17 |
| avahi2dns-systemd-0.1.0-r9.apk | 1.8 KiB | 2026-01-20 02:09:50 |
| turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-10-25 19:09:04 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| nvimpager-zsh-completion-0.12.0-r0.apk | 1.8 KiB | 2024-10-25 19:07:55 |
| q6voiced-openrc-0.2.1-r0.apk | 1.8 KiB | 2025-12-30 13:28:22 |
| udpt-openrc-3.1.2-r0.apk | 1.8 KiB | 2024-10-25 19:09:04 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| gearmand-openrc-1.1.22-r0.apk | 1.8 KiB | 2025-09-09 13:17:26 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 19:08:13 |
| spacectl-zsh-completion-1.12.0-r7.apk | 1.8 KiB | 2026-01-19 00:22:31 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| avahi2dns-openrc-0.1.0-r9.apk | 1.8 KiB | 2026-01-20 02:09:50 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-10-25 19:07:49 |
| synapse-bt-openrc-1.0-r4.apk | 1.8 KiB | 2024-10-25 19:08:52 |
| tremc-zsh-completion-0.9.4-r0.apk | 1.8 KiB | 2025-07-16 08:06:41 |
| filebrowser-openrc-2.27.0-r17.apk | 1.8 KiB | 2026-01-19 00:22:18 |
| taskcafe-openrc-0.3.6-r19.apk | 1.8 KiB | 2026-01-19 00:22:32 |
| nfcd-systemd-1.2.4-r0.apk | 1.8 KiB | 2025-12-31 16:43:06 |
| aprilsh-openrc-0.7.12-r11.apk | 1.8 KiB | 2026-01-19 00:22:14 |
| reaction-openrc-2.2.1-r0.apk | 1.8 KiB | 2025-09-23 20:48:58 |
| jackal-openrc-0.64.0-r21.apk | 1.8 KiB | 2026-01-19 00:22:22 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-10-25 19:08:19 |
| microsocks-openrc-1.0.5-r0.apk | 1.8 KiB | 2025-12-21 23:53:12 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1.8 KiB | 2025-09-09 13:17:24 |
| p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-10-25 19:08:06 |
| battery-limit-openrc-1-r0.apk | 1.8 KiB | 2025-06-20 04:10:04 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk | 1.8 KiB | 2025-11-11 19:23:01 |
| q6voiced-systemd-0.2.1-r0.apk | 1.8 KiB | 2025-12-30 13:28:22 |
| roll-bash-completion-2.6.1-r1.apk | 1.8 KiB | 2025-10-13 15:50:32 |
| pihole-openrc-6.2.3-r0.apk | 1.8 KiB | 2025-06-20 18:05:20 |
| minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-10-25 19:07:47 |
| rmtfs-systemd-1.1.1-r2.apk | 1.8 KiB | 2026-01-19 00:22:30 |
| 3proxy-systemd-0.9.5-r1.apk | 1.8 KiB | 2025-11-17 15:33:15 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-10-25 19:08:13 |
| yaru-schemas-25.10.3-r0.apk | 1.8 KiB | 2026-01-06 05:46:08 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.8 KiB | 2024-11-29 22:03:58 |
| pimd-dense-openrc-2.1.0-r0.apk | 1.9 KiB | 2024-10-25 19:08:11 |
| speedtest_exporter-openrc-0.3.2-r21.apk | 1.9 KiB | 2026-01-19 00:22:31 |
| debconf-bash-completion-1.5.82-r0.apk | 1.9 KiB | 2024-10-25 19:06:14 |
| paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1.9 KiB | 2025-05-28 14:23:56 |
| paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1.9 KiB | 2025-05-28 14:23:56 |
| librespot-openrc-0.8.0-r0.apk | 1.9 KiB | 2025-11-20 03:26:01 |
| olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-10-25 19:08:02 |
| ssh-studio-lang-1.3.1-r0.apk | 1.9 KiB | 2025-10-12 20:35:52 |
| pgcat-openrc-1.2.0-r1.apk | 1.9 KiB | 2025-01-01 17:37:40 |
| minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-10-25 19:07:47 |
| i3bar-river-systemd-1.1.0-r1.apk | 1.9 KiB | 2025-10-29 22:52:25 |
| eiwd-openrc-3.10-r0.apk | 1.9 KiB | 2025-10-08 10:22:43 |
| tpm2-pkcs11-dev-1.9.2-r0.apk | 1.9 KiB | 2025-12-13 16:13:11 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1.9 KiB | 2025-06-20 04:10:15 |
| pict-rs-openrc-0.5.19-r1.apk | 1.9 KiB | 2025-10-14 13:44:45 |
| tang-openrc-15-r0.apk | 1.9 KiB | 2025-01-25 07:04:20 |
| llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-10-25 19:07:37 |
| prometheus-smartctl-exporter-openrc-0.14.0-r6.apk | 1.9 KiB | 2026-01-19 00:22:29 |
| otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-10-25 19:08:04 |
| biboumi-openrc-9.0-r9.apk | 1.9 KiB | 2025-10-08 10:22:39 |
| icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-10-25 19:07:25 |
| flowd-openrc-0.9.1-r11.apk | 1.9 KiB | 2025-07-01 18:10:43 |
| empede-openrc-0.2.3-r0.apk | 1.9 KiB | 2024-10-25 19:07:07 |
| satellite-openrc-1.0.0-r34.apk | 1.9 KiB | 2026-01-19 00:22:30 |
| tremc-bash-completion-0.9.4-r0.apk | 1.9 KiB | 2025-07-16 08:06:41 |
| hwatch-zsh-completion-0.3.11-r0.apk | 1.9 KiB | 2024-10-25 19:07:25 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1.9 KiB | 2025-05-11 11:57:51 |
| json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-10-25 19:07:26 |
| cloudflared-doc-2025.11.1-r12.apk | 1.9 KiB | 2026-01-19 00:22:16 |
| sish-openrc-2.16.1-r11.apk | 1.9 KiB | 2026-01-19 00:22:31 |
| seaweedfs-openrc-3.93-r5.apk | 1.9 KiB | 2026-01-19 00:22:31 |
| flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-11-03 21:06:33 |
| conntracct-openrc-0.2.7-r37.apk | 1.9 KiB | 2026-01-19 00:22:17 |
| daktilo-fish-completion-0.6.0-r0.apk | 1.9 KiB | 2024-10-25 19:06:06 |
| ntpd-rs-openrc-1.6.2-r2.apk | 1.9 KiB | 2025-12-18 17:57:22 |
| zrepl-zsh-completion-0.6.1-r17.apk | 1.9 KiB | 2026-01-19 00:22:38 |
| bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-10-25 19:05:59 |
| sthttpd-openrc-2.27.1-r2.apk | 1.9 KiB | 2024-10-25 19:08:50 |
| apt-swarm-openrc-0.5.1-r1.apk | 1.9 KiB | 2025-10-12 13:43:59 |
| halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-10-25 19:07:22 |
| hardinfo2-openrc-2.2.13-r0.apk | 2.0 KiB | 2025-09-28 12:06:15 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| ry-bash-completion-0.5.2-r1.apk | 2.0 KiB | 2024-10-25 19:08:25 |
| mautrix-zulip-openrc-0.2511.0-r2.apk | 2.0 KiB | 2026-01-19 00:22:26 |
| turn-rs-openrc-3.4.0-r1.apk | 2.0 KiB | 2025-06-12 12:11:56 |
| upterm-server-openrc-0.17.0-r2.apk | 2.0 KiB | 2026-01-19 00:22:36 |
| daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-10-25 19:06:06 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2.0 KiB | 2025-05-12 07:06:37 |
| agate-openrc-3.3.19-r0.apk | 2.0 KiB | 2025-09-23 20:48:58 |
| chasquid-openrc-1.17.0-r1.apk | 2.0 KiB | 2026-01-19 00:22:16 |
| exercism-bash-completion-3.2.0-r19.apk | 2.0 KiB | 2026-01-19 00:22:18 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2.0 KiB | 2025-04-12 12:23:08 |
| py3-lzo-pyc-1.16-r1.apk | 2.0 KiB | 2024-10-25 19:08:17 |
| mautrix-linkedin-openrc-0.2512.0-r1.apk | 2.0 KiB | 2026-01-19 00:22:26 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-10-25 19:07:22 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-10-25 19:07:55 |
| apx-doc-3.0.1-r0.apk | 2.0 KiB | 2026-01-22 16:14:26 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 2.0 KiB | 2025-06-20 04:10:15 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk | 2.0 KiB | 2026-01-19 00:22:29 |
| downloader-cli-0.3.4-r2.apk | 2.0 KiB | 2025-05-14 18:17:53 |
| mtail-openrc-3.2.26-r2.apk | 2.0 KiB | 2026-01-19 00:22:26 |
| stayrtr-openrc-0.6.3-r2.apk | 2.0 KiB | 2026-01-19 00:22:32 |
| gmcapsule-openrc-0.9.8-r0.apk | 2.0 KiB | 2025-10-08 10:22:43 |
| taskwarrior-tui-bash-completion-0.26.3-r0.apk | 2.0 KiB | 2025-03-26 10:25:04 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-10-25 19:08:19 |
| neocmakelsp-bash-completion-0.9.1-r0.apk | 2.0 KiB | 2026-01-10 07:00:34 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 2.0 KiB | 2025-05-29 11:58:13 |
| neocmakelsp-zsh-completion-0.9.1-r0.apk | 2.0 KiB | 2026-01-10 07:00:34 |
| alertmanager-irc-relay-openrc-0.5.1-r1.apk | 2.0 KiB | 2025-09-09 13:17:22 |
| py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-10-25 19:08:15 |
| msh-openrc-2.5.0-r18.apk | 2.0 KiB | 2026-01-19 00:22:26 |
| tofutf-agent-openrc-0.10.0-r11.apk | 2.0 KiB | 2026-01-19 00:22:34 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 2.0 KiB | 2025-06-20 04:10:15 |
| geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-10-25 19:07:16 |
| otf-server-openrc-0.4.9-r1.apk | 2.0 KiB | 2026-01-19 00:22:27 |
| otf-agent-openrc-0.4.9-r1.apk | 2.0 KiB | 2026-01-19 00:22:27 |
| nmqtt-openrc-1.0.7-r0.apk | 2.0 KiB | 2026-01-24 13:35:19 |
| vimv-doc-3.1.0-r0.apk | 2.0 KiB | 2025-10-12 21:20:00 |
| tofutf-server-openrc-0.10.0-r11.apk | 2.0 KiB | 2026-01-19 00:22:34 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| gotify-openrc-2.7.2-r3.apk | 2.0 KiB | 2026-01-19 00:22:20 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| 66-tools-dev-0.1.2.0-r0.apk | 2.0 KiB | 2025-06-01 15:47:00 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| alps-openrc-0_git20230807-r18.apk | 2.0 KiB | 2026-01-19 00:22:14 |
| libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-10-25 19:07:35 |
| lomiri-docviewer-app-doc-3.1.2-r0.apk | 2.0 KiB | 2025-08-19 20:08:07 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-10-25 19:08:15 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| tealdeer-bash-completion-1.8.0-r0.apk | 2.0 KiB | 2025-10-28 02:23:48 |
| prowlarr-openrc-2.3.0.5236-r0.apk | 2.0 KiB | 2025-12-13 17:15:02 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-10-25 19:08:03 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-10-25 19:08:13 |
| thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-10-25 19:08:55 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-10-25 19:07:55 |
| clevis-bash-completion-21-r0.apk | 2.0 KiB | 2025-01-25 07:03:41 |
| lidarr-openrc-3.1.0.4875-r0.apk | 2.0 KiB | 2025-12-13 17:30:39 |
| atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-10-25 19:05:58 |
| radarr-openrc-6.0.4.10291-r0.apk | 2.0 KiB | 2025-11-17 17:13:24 |
| apmpkg-fish-completion-1.5.1-r3.apk | 2.0 KiB | 2024-10-25 19:05:54 |
| cortex-tenant-openrc-1.15.7-r3.apk | 2.0 KiB | 2026-01-19 00:22:17 |
| sonarr-openrc-4.0.16.2944-r0.apk | 2.0 KiB | 2025-11-17 17:13:24 |
| autoscan-openrc-1.4.0-r17.apk | 2.0 KiB | 2026-01-19 00:22:14 |
| spacectl-bash-completion-1.12.0-r7.apk | 2.0 KiB | 2026-01-19 00:22:31 |
| firehol-openrc-3.1.7-r2.apk | 2.1 KiB | 2024-10-25 19:07:12 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 2.1 KiB | 2024-10-25 19:08:49 |
| sc-controller-udev-0.5.5-r0.apk | 2.1 KiB | 2025-12-14 08:56:06 |
| lomiri-indicator-network-doc-1.1.2-r0.apk | 2.1 KiB | 2025-09-09 13:17:31 |
| dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-10-25 19:06:14 |
| stalwart-mail-openrc-0.15.4-r3.apk | 2.1 KiB | 2026-01-22 00:30:30 |
| rezolus-openrc-2.11.1-r3.apk | 2.1 KiB | 2024-10-25 19:08:23 |
| kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-10-25 19:07:30 |
| plakar-doc-1.0.6-r2.apk | 2.1 KiB | 2026-01-19 00:22:28 |
| nzbget-openrc-25.4-r0.apk | 2.1 KiB | 2025-11-17 17:13:24 |
| kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-10-25 19:07:30 |
| py3-svglib-doc-1.5.1-r0.apk | 2.1 KiB | 2025-06-10 19:48:27 |
| semaphoreui-openrc-2.16.37-r2.apk | 2.1 KiB | 2026-01-19 00:22:31 |
| pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-10-25 19:08:11 |
| prometheus-opnsense-exporter-openrc-0.0.11-r3.apk | 2.1 KiB | 2026-01-19 00:22:28 |
| wk-adblock-doc-0.0.4-r5.apk | 2.1 KiB | 2024-10-25 19:09:07 |
| viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-10-25 19:09:06 |
| nim-cligen-doc-1.9.5-r0.apk | 2.1 KiB | 2026-01-24 13:35:19 |
| fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-10-25 19:07:12 |
| cherrytree-doc-1.4.0-r0.apk | 2.1 KiB | 2025-03-26 17:40:20 |
| oauth2-proxy-openrc-7.11.0-r5.apk | 2.1 KiB | 2026-01-19 00:22:27 |
| py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-10-25 19:08:19 |
| exercism-zsh-completion-3.2.0-r19.apk | 2.1 KiB | 2026-01-19 00:22:18 |
| dstask-bash-completion-0.27-r5.apk | 2.1 KiB | 2026-01-19 00:22:18 |
| xkb-switch-doc-1.8.5-r1.apk | 2.1 KiB | 2025-05-14 18:17:54 |
| tailspin-fish-completion-5.5.0-r0.apk | 2.1 KiB | 2025-10-28 06:57:36 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2.1 KiB | 2025-05-14 18:17:54 |
| flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-11-03 21:06:33 |
| rmtfs-openrc-1.1.1-r2.apk | 2.1 KiB | 2026-01-19 00:22:30 |
| qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-10-25 19:08:21 |
| sdl3_image-doc-3.2.6-r0.apk | 2.1 KiB | 2026-01-07 10:54:52 |
| lomiri-location-service-systemd-3.3.0-r6.apk | 2.1 KiB | 2026-01-19 00:22:25 |
| haproxy-dataplaneapi2-openrc-2.9.18-r3.apk | 2.1 KiB | 2026-01-19 00:22:20 |
| mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-10-25 19:07:46 |
| py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-10-25 19:08:18 |
| ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-10-25 19:09:10 |
| nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-10-25 19:07:52 |
| planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-12-08 21:36:41 |
| apmpkg-bash-completion-1.5.1-r3.apk | 2.2 KiB | 2024-10-25 19:05:54 |
| daktilo-bash-completion-0.6.0-r0.apk | 2.2 KiB | 2024-10-25 19:06:06 |
| fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-10-25 19:07:11 |
| greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk | 2.2 KiB | 2024-10-25 19:07:19 |
| halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-10-25 19:07:22 |
| libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-10-30 22:44:42 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-10-25 19:08:13 |
| pihole-bash-completion-6.2.3-r0.apk | 2.2 KiB | 2025-06-20 18:05:20 |
| hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-10-25 19:07:23 |
| flare-game-1.14-r0.apk | 2.2 KiB | 2024-10-25 19:07:12 |
| adguardhome-openrc-0.107.71-r1.apk | 2.2 KiB | 2026-01-19 00:22:14 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-10-25 19:08:19 |
| porla-doc-0.41.0-r2.apk | 2.2 KiB | 2025-02-24 14:53:03 |
| whatsie-doc-4.16.3-r0.apk | 2.2 KiB | 2025-01-12 13:00:47 |
| libsirocco-dev-2.1.1-r0.apk | 2.2 KiB | 2025-08-31 17:16:44 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 2.2 KiB | 2025-10-18 21:03:16 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-10-25 19:08:52 |
| ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-10-25 19:06:14 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-10-25 19:08:19 |
| motion-openrc-4.7.1-r0.apk | 2.2 KiB | 2025-09-27 21:20:19 |
| wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-10-25 19:09:08 |
| bootchart2-systemd-0.14.9-r1.apk | 2.2 KiB | 2025-11-17 15:33:15 |
| rustdesk-server-openrc-1.1.10.3-r0.apk | 2.2 KiB | 2024-10-25 19:08:24 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-10-25 19:08:18 |
| openrdap-doc-0.9.1-r0.apk | 2.2 KiB | 2025-06-24 15:50:27 |
| flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-11-03 21:06:33 |
| mml-fish-completion-1.0.0-r1.apk | 2.2 KiB | 2025-09-30 00:41:11 |
| svls-doc-0.2.14-r0.apk | 2.2 KiB | 2025-12-13 03:00:39 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2.2 KiB | 2025-07-06 18:16:51 |
| walk-doc-1.13.0-r9.apk | 2.2 KiB | 2026-01-19 00:22:37 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-10-25 19:08:15 |
| chess-tui-doc-2.0.0-r0.apk | 2.2 KiB | 2025-12-13 01:11:32 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-10-25 19:08:19 |
| jaq-doc-2.3.0-r0.apk | 2.2 KiB | 2025-07-24 13:42:48 |
| hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-10-25 19:07:25 |
| ruri-doc-3.9.3-r0.apk | 2.2 KiB | 2025-10-27 08:30:47 |
| net-predictable-doc-1.5.1-r6.apk | 2.2 KiB | 2026-01-19 00:22:26 |
| asdf-doc-0.18.0-r6.apk | 2.2 KiB | 2026-01-19 00:22:14 |
| tailspin-bash-completion-5.5.0-r0.apk | 2.2 KiB | 2025-10-28 06:57:36 |
| 81voltd-doc-1.1.0-r0.apk | 2.2 KiB | 2026-01-11 18:16:16 |
| lefthook-doc-2.0.4-r2.apk | 2.2 KiB | 2026-01-19 00:22:25 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2.2 KiB | 2025-06-13 14:11:53 |
| yamldiff-doc-0.3.0-r4.apk | 2.2 KiB | 2026-01-19 00:22:38 |
| ruby-crack-doc-1.0.1-r0.apk | 2.2 KiB | 2025-10-21 04:14:43 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2.2 KiB | 2025-10-25 09:21:01 |
| ansifilter-bash-completion-2.22-r0.apk | 2.2 KiB | 2026-01-19 17:09:51 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2.2 KiB | 2025-10-21 04:18:01 |
| edit-doc-1.2.1-r0.apk | 2.2 KiB | 2025-10-16 13:17:18 |
| catppuccin-whiskers-doc-2.5.1-r0.apk | 2.2 KiB | 2025-11-29 19:00:04 |
| webhookd-openrc-1.20.2-r6.apk | 2.2 KiB | 2026-01-19 00:22:38 |
| sshm-doc-1.10.0-r1.apk | 2.3 KiB | 2026-01-19 00:22:32 |
| helmfile-doc-1.1.8-r2.apk | 2.3 KiB | 2026-01-19 00:22:21 |
| exabgp-openrc-4.2.24-r1.apk | 2.3 KiB | 2025-09-09 13:17:26 |
| helm-ls-doc-0.5.4-r2.apk | 2.3 KiB | 2026-01-19 00:22:20 |
| httplz-doc-2.2.0-r0.apk | 2.3 KiB | 2025-05-18 15:38:59 |
| tmpl-doc-0.4.0-r17.apk | 2.3 KiB | 2026-01-19 00:22:34 |
| mods-doc-1.8.1-r4.apk | 2.3 KiB | 2026-01-19 00:22:26 |
| katana-doc-1.4.0-r0.apk | 2.3 KiB | 2026-01-22 01:25:13 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-10-25 19:08:15 |
| remco-doc-0.12.5-r6.apk | 2.3 KiB | 2026-01-19 00:22:30 |
| imgdiff-doc-1.0.2-r32.apk | 2.3 KiB | 2026-01-19 00:22:22 |
| mml-bash-completion-1.0.0-r1.apk | 2.3 KiB | 2025-09-30 00:41:11 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-10-25 19:08:18 |
| gmid-openrc-2.1.1-r1.apk | 2.3 KiB | 2026-01-12 17:39:04 |
| packwiz-doc-0_git20251102-r2.apk | 2.3 KiB | 2026-01-19 00:22:27 |
| ry-zsh-completion-0.5.2-r1.apk | 2.3 KiB | 2024-10-25 19:08:25 |
| webhookd-doc-1.20.2-r6.apk | 2.3 KiB | 2026-01-19 00:22:38 |
| goshs-doc-1.1.3-r1.apk | 2.3 KiB | 2026-01-19 00:22:19 |
| ruby-dry-inflector-doc-1.3.1-r0.apk | 2.3 KiB | 2026-01-19 00:22:30 |
| slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-10-25 19:08:47 |
| dbmate-doc-2.28.0-r2.apk | 2.3 KiB | 2026-01-19 00:22:17 |
| nmqtt-doc-1.0.7-r0.apk | 2.3 KiB | 2026-01-24 13:35:19 |
| nim-sha2-doc-0.1.1-r0.apk | 2.3 KiB | 2026-01-24 13:35:19 |
| go-away-openrc-0.7.0-r1.apk | 2.3 KiB | 2025-09-09 13:17:26 |
| empede-doc-0.2.3-r0.apk | 2.3 KiB | 2024-10-25 19:07:07 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-10-25 19:08:56 |
| ssh-get-id-doc-0.2.0-r1.apk | 2.3 KiB | 2026-01-19 00:22:32 |
| tfupdate-doc-0.8.2-r12.apk | 2.3 KiB | 2026-01-19 00:22:33 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.3 KiB | 2025-05-14 18:17:54 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-10-25 19:09:04 |
| recyclarr-doc-7.5.2-r0.apk | 2.3 KiB | 2025-12-13 17:36:18 |
| powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-10-25 19:08:12 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.3 KiB | 2025-05-25 23:54:29 |
| daktilo-zsh-completion-0.6.0-r0.apk | 2.3 KiB | 2024-10-25 19:06:06 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2.3 KiB | 2025-05-26 00:00:03 |
| gx-go-doc-1.9.0-r38.apk | 2.3 KiB | 2026-01-19 00:22:20 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2.3 KiB | 2025-10-28 02:23:48 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2.3 KiB | 2025-04-12 12:22:42 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-10-25 19:08:56 |
| copyq-bash-completion-13.0.0-r1.apk | 2.3 KiB | 2025-12-04 13:54:13 |
| drogon-doc-1.9.4-r2.apk | 2.3 KiB | 2025-05-22 06:51:53 |
| httpx-doc-1.8.1-r0.apk | 2.3 KiB | 2026-01-22 18:40:52 |
| gx-doc-0.14.3-r36.apk | 2.3 KiB | 2026-01-19 00:22:20 |
| dnscontrol-doc-4.31.1-r0.apk | 2.3 KiB | 2026-01-22 19:20:58 |
| innernet-openrc-1.6.1-r0.apk | 2.3 KiB | 2024-10-25 19:07:25 |
| q6voiced-doc-0.2.1-r0.apk | 2.3 KiB | 2025-12-30 13:28:22 |
| scenefx-doc-0.4.1-r0.apk | 2.3 KiB | 2025-12-20 23:13:53 |
| faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-10-25 19:07:11 |
| protoc-gen-doc-doc-1.5.1-r1.apk | 2.3 KiB | 2026-01-19 00:22:29 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-10-25 19:06:06 |
| ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-10-25 19:06:14 |
| lua-markdown-doc-0.33-r0.apk | 2.3 KiB | 2026-01-23 00:17:36 |
| maildir-rank-addr-doc-1.4.1-r2.apk | 2.3 KiB | 2026-01-19 00:22:25 |
| ergo-ldap-doc-0.0.1-r23.apk | 2.3 KiB | 2026-01-19 00:22:18 |
| yaml-language-server-doc-1.19.2-r0.apk | 2.3 KiB | 2025-10-08 23:50:31 |
| ruby-coderay-doc-1.1.3-r0.apk | 2.3 KiB | 2025-05-25 23:56:42 |
| spacectl-doc-1.12.0-r7.apk | 2.3 KiB | 2026-01-19 00:22:31 |
| eludris-doc-0.3.3-r1.apk | 2.3 KiB | 2024-10-25 19:07:07 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2.3 KiB | 2025-09-09 13:17:34 |
| php81-pecl-imagick-dev-3.8.1-r0.apk | 2.3 KiB | 2025-11-28 00:07:52 |
| dam-doc-0_git20250728-r0.apk | 2.3 KiB | 2025-12-22 21:22:33 |
| vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-10-25 19:09:06 |
| php82-pecl-imagick-dev-3.8.1-r0.apk | 2.3 KiB | 2025-11-28 00:07:52 |
| theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-10-25 19:08:55 |
| thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-10-25 19:08:55 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-10-25 19:07:06 |
| bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-10-25 19:05:59 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2.3 KiB | 2025-10-16 17:07:38 |
| pnmixer-doc-0.7.2-r4.apk | 2.3 KiB | 2026-01-19 00:22:28 |
| bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-10-25 19:06:00 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-10-25 19:07:24 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2.3 KiB | 2025-05-25 23:52:09 |
| semaphoreui-doc-2.16.37-r2.apk | 2.3 KiB | 2026-01-19 00:22:31 |
| hurl-bash-completion-7.1.0-r0.apk | 2.3 KiB | 2025-12-05 18:41:45 |
| ruby-crack-1.0.1-r0.apk | 2.3 KiB | 2025-10-21 04:14:43 |
| aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk | 2.3 KiB | 2026-01-19 00:22:15 |
| libtins-doc-4.5-r2.apk | 2.3 KiB | 2025-10-15 08:21:52 |
| maxima-bash-completion-5.48.1-r9.apk | 2.3 KiB | 2025-08-22 10:18:40 |
| json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-10-25 19:07:26 |
| mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-10-25 19:07:51 |
| perl-uri-ws-0.03-r0.apk | 2.3 KiB | 2025-03-19 11:44:15 |
| ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-10-30 22:44:42 |
| lutris-doc-0.5.19-r1.apk | 2.3 KiB | 2025-09-19 14:53:45 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-10-25 19:08:19 |
| exercism-fish-completion-3.2.0-r19.apk | 2.4 KiB | 2026-01-19 00:22:18 |
| rpicam-apps-doc-1.11.0-r0.apk | 2.4 KiB | 2026-01-02 13:24:33 |
| river-shifttags-doc-0.2.1-r1.apk | 2.4 KiB | 2025-05-12 21:20:42 |
| pongoos-loader-0_git20210704-r1.apk | 2.4 KiB | 2024-10-25 19:08:11 |
| gaupol-doc-1.12-r2.apk | 2.4 KiB | 2024-10-25 19:07:15 |
| boost1.89-doc-1.89.0-r1.apk | 2.4 KiB | 2026-01-19 00:22:15 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2.4 KiB | 2025-10-28 02:23:48 |
| clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-10-25 19:06:03 |
| ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-10-25 19:08:14 |
| btfs-doc-2.24-r12.apk | 2.4 KiB | 2024-10-25 19:06:00 |
| hypridle-doc-0.1.7-r1.apk | 2.4 KiB | 2025-12-14 10:57:44 |
| ovn-openrc-25.09.2-r0.apk | 2.4 KiB | 2025-12-14 22:05:19 |
| py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-10-25 19:08:18 |
| openwsman-doc-2.8.1-r1.apk | 2.4 KiB | 2025-07-01 18:10:43 |
| otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-10-25 19:08:04 |
| rmtfs-doc-1.1.1-r2.apk | 2.4 KiB | 2026-01-19 00:22:30 |
| halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-10-25 19:07:22 |
| nim-bcrypt-0.2.1-r0.apk | 2.4 KiB | 2026-01-24 13:35:19 |
| policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-10-25 19:08:11 |
| wl-screenrec-bash-completion-0.1.7-r1.apk | 2.4 KiB | 2025-08-27 16:17:12 |
| gliderlabs-sigil-doc-0.11.0-r11.apk | 2.4 KiB | 2026-01-19 00:22:19 |
| apmpkg-zsh-completion-1.5.1-r3.apk | 2.4 KiB | 2024-10-25 19:05:54 |
| somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-10-25 19:08:47 |
| rocm-cmake-doc-6.4.3-r0.apk | 2.4 KiB | 2025-08-18 11:32:15 |
| rocm-core-doc-6.4.3-r0.apk | 2.4 KiB | 2025-08-18 11:32:15 |
| hyprsunset-doc-0.3.3-r1.apk | 2.4 KiB | 2025-12-14 10:57:44 |
| kondo-zsh-completion-0.8-r0.apk | 2.4 KiB | 2024-10-25 19:07:30 |
| py3-flask-headers-pyc-1.0-r9.apk | 2.5 KiB | 2024-10-25 19:08:15 |
| ansifilter-fish-completion-2.22-r0.apk | 2.5 KiB | 2026-01-19 17:09:51 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-10-30 22:44:42 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2.5 KiB | 2025-10-28 06:57:36 |
| lsdvd-doc-0.17-r0.apk | 2.5 KiB | 2024-10-25 19:07:38 |
| flare-engine-doc-1.14-r1.apk | 2.5 KiB | 2025-11-05 13:58:16 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-10-25 19:08:19 |
| beebzzr-doc-0_git20251214-r0.apk | 2.5 KiB | 2026-01-19 00:22:15 |
| beard-doc-0.4-r0.apk | 2.5 KiB | 2024-10-25 19:05:59 |
| frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-10-25 19:07:15 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-10-25 19:09:09 |
| xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2024-10-25 19:09:09 |
| pd-mapper-doc-1.1-r0.apk | 2.5 KiB | 2026-01-13 00:01:57 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-10-25 19:07:49 |
| ghq-zsh-completion-1.8.0-r7.apk | 2.5 KiB | 2026-01-19 00:22:19 |
| bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-10-25 19:06:00 |
| freshrss-openrc-1.28.0-r0.apk | 2.5 KiB | 2026-01-02 01:39:22 |
| ouch-bash-completion-0.6.1-r0.apk | 2.5 KiB | 2025-05-28 07:38:11 |
| perl-plack-middleware-removeredundantbody-0.09-r0.apk | 2.5 KiB | 2024-12-27 19:25:03 |
| tup-vim-0.7.11-r1.apk | 2.5 KiB | 2025-06-20 04:10:16 |
| py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-10-25 19:08:15 |
| pully-1.0.0-r0.apk | 2.5 KiB | 2024-10-25 19:08:14 |
| libcotp-dev-3.1.1-r0.apk | 2.5 KiB | 2025-10-04 06:02:23 |
| stw-doc-0.3-r0.apk | 2.5 KiB | 2024-10-25 19:08:52 |
| gradia-dev-1.11.1-r0.apk | 2.5 KiB | 2026-01-06 14:50:01 |
| flann-doc-1.9.2-r1.apk | 2.5 KiB | 2025-02-22 12:17:56 |
| ansifilter-zsh-completion-2.22-r0.apk | 2.5 KiB | 2026-01-19 17:09:51 |
| xendmail-doc-0.4.4-r0.apk | 2.5 KiB | 2025-08-08 16:11:01 |
| xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-10-25 19:09:09 |
| ghq-fish-completion-1.8.0-r7.apk | 2.5 KiB | 2026-01-19 00:22:19 |
| ty-bash-completion-0.0.4-r0.apk | 2.6 KiB | 2025-12-21 18:52:14 |
| hyfetch-zsh-completion-2.0.5-r0.apk | 2.6 KiB | 2025-11-21 18:05:51 |
| sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-10-25 19:08:46 |
| nicotine-plus-doc-3.3.10-r0.apk | 2.6 KiB | 2025-05-11 11:57:50 |
| fuseiso-doc-20070708-r0.apk | 2.6 KiB | 2025-04-14 01:10:06 |
| ol-doc-2.6-r0.apk | 2.6 KiB | 2025-05-11 11:57:51 |
| perl-class-unload-0.11-r0.apk | 2.6 KiB | 2025-06-04 19:41:58 |
| scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-10-25 19:08:42 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-10-25 19:08:15 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-10-25 19:08:15 |
| xcompmgr-doc-1.1.10-r0.apk | 2.6 KiB | 2025-06-28 11:24:23 |
| py3-igraph-dev-1.0.0-r0.apk | 2.6 KiB | 2025-10-28 02:16:01 |
| perl-tie-toobject-0.03-r0.apk | 2.6 KiB | 2025-03-19 11:44:15 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2.6 KiB | 2025-06-20 04:10:16 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-10-25 19:07:55 |
| faust-vim-2.79.3-r0.apk | 2.6 KiB | 2025-06-07 17:02:10 |
| py3-unidns-examples-0.0.4-r0.apk | 2.6 KiB | 2025-11-03 06:49:14 |
| trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-10-25 19:08:56 |
| scratch-doc-1.4.0.7-r2.apk | 2.6 KiB | 2025-10-09 12:30:45 |
| lxappearance-doc-0.6.3-r4.apk | 2.6 KiB | 2026-01-14 15:24:04 |
| py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-10-25 19:08:18 |
| py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-10-25 19:08:19 |
| xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-10-25 19:09:09 |
| linux-gpib-udev-4.3.7-r0.apk | 2.6 KiB | 2025-12-06 23:07:14 |
| nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-10-25 19:07:55 |
| py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-10-25 19:08:17 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-10-25 19:08:13 |
| sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-10-25 19:08:52 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-10-25 19:08:13 |
| wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-10-25 19:09:07 |
| mame-common-0.251-r0.apk | 2.7 KiB | 2024-10-25 19:07:43 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-10-25 19:08:13 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2.7 KiB | 2025-05-11 11:57:50 |
| colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-10-25 19:06:04 |
| reap-doc-0.2-r0.apk | 2.7 KiB | 2025-09-13 13:05:44 |
| ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-10-25 19:06:14 |
| perl-catalyst-manual-5.9013-r0.apk | 2.7 KiB | 2025-04-04 05:22:28 |
| porla-openrc-0.41.0-r2.apk | 2.7 KiB | 2025-02-24 14:53:03 |
| perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-10-25 19:08:07 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-10-25 19:08:07 |
| kmscon-systemd-9.2.1-r0.apk | 2.7 KiB | 2026-01-09 00:35:55 |
| libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-10-25 19:07:34 |
| prosody-mod-register_redirect-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-10-25 19:08:13 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2.7 KiB | 2025-09-19 14:53:45 |
| ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-10-25 19:07:25 |
| perl-catalyst-component-instancepercontext-0.001001-r1.apk | 2.7 KiB | 2025-06-20 04:10:15 |
| h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-10-25 19:07:22 |
| pomo-doc-0.8.1-r29.apk | 2.7 KiB | 2026-01-19 00:22:28 |
| pounce-openrc-3.1-r4.apk | 2.7 KiB | 2025-09-13 01:00:11 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-10-25 19:06:14 |
| rsstail-doc-2.2-r0.apk | 2.8 KiB | 2025-02-13 07:17:33 |
| barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.8 KiB | 2024-10-25 19:05:59 |
| lfm-doc-3.1-r4.apk | 2.8 KiB | 2024-10-25 19:07:32 |
| py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-10-25 19:08:19 |
| mint-x-theme-2.3.7-r1.apk | 2.8 KiB | 2026-01-24 14:18:44 |
| timew-bash-completion-1.4.3-r1.apk | 2.8 KiB | 2024-10-25 19:08:55 |
| alacritty-graphics-bash-completion-0.16.1-r0.apk | 2.8 KiB | 2025-12-18 00:03:06 |
| perl-data-binary-0.01-r0.apk | 2.8 KiB | 2025-07-10 02:28:25 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 19:08:13 |
| kirc-doc-0.3.3-r0.apk | 2.8 KiB | 2025-02-22 12:34:49 |
| mml-zsh-completion-1.0.0-r1.apk | 2.8 KiB | 2025-09-30 00:41:11 |
| mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-10-25 19:07:44 |
| gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-10-25 19:07:15 |
| nb-fish-completion-7.24.0-r0.apk | 2.8 KiB | 2026-01-21 19:05:22 |
| py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-10-25 19:08:18 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2.8 KiB | 2025-05-11 11:57:51 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-10-25 19:08:18 |
| perl-plack-test-externalserver-0.02-r0.apk | 2.8 KiB | 2025-03-19 11:44:15 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 19:08:13 |
| chim-doc-1.1.2-r1.apk | 2.8 KiB | 2024-10-25 19:06:02 |
| libabigail-bash-completion-2.8-r0.apk | 2.8 KiB | 2025-11-02 19:50:28 |
| tremc-doc-0.9.4-r0.apk | 2.8 KiB | 2025-07-16 08:06:41 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-10-25 19:08:15 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-10-25 19:08:13 |
| reredirect-doc-0.3-r0.apk | 2.8 KiB | 2024-10-25 19:08:23 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2.8 KiB | 2024-10-25 19:09:12 |
| logtop-doc-0.7-r1.apk | 2.8 KiB | 2025-08-09 00:22:05 |
| py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-10-25 19:08:19 |
| coccinelle-bash-completion-1.1.1-r2.apk | 2.9 KiB | 2024-10-25 19:06:04 |
| boost1.89-date_time-1.89.0-r1.apk | 2.9 KiB | 2026-01-19 00:22:15 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2.9 KiB | 2025-06-01 15:47:00 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-10-25 19:07:06 |
| lomiri-location-service-doc-3.3.0-r6.apk | 2.9 KiB | 2026-01-19 00:22:25 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2.9 KiB | 2025-01-26 19:29:30 |
| sacc-doc-1.07-r1.apk | 2.9 KiB | 2025-09-13 01:00:11 |
| git-extras-bash-completion-7.4.0-r0.apk | 2.9 KiB | 2025-07-24 13:42:48 |
| generate-kernel-cmdline-doc-1.0-r0.apk | 2.9 KiB | 2026-01-22 23:37:46 |
| proverif-emacs-2.05-r1.apk | 2.9 KiB | 2026-01-11 22:38:51 |
| pxmenu-1.0.0-r1.apk | 2.9 KiB | 2024-10-25 19:08:14 |
| desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-10-25 19:06:14 |
| pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-10-25 19:08:14 |
| mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-10-25 19:07:51 |
| pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-10-25 19:08:06 |
| createrepo_c-bash-completion-1.1.4-r1.apk | 2.9 KiB | 2025-12-30 20:57:51 |
| prosody-mod-http_upload_external-0.11_hg20201208-r0.apk | 2.9 KiB | 2024-10-25 19:08:13 |
| steamguard-cli-bash-completion-0.17.1-r0.apk | 2.9 KiB | 2025-07-15 10:28:50 |
| nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-10-25 19:07:54 |
| restic.mk-0.4.0-r0.apk | 2.9 KiB | 2024-10-25 19:08:23 |
| noggin-doc-0.1-r24.apk | 2.9 KiB | 2026-01-19 00:22:26 |
| rankwidth-doc-0.9-r4.apk | 2.9 KiB | 2025-10-28 02:16:01 |
| libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-10-25 19:07:35 |
| vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-10-25 19:09:06 |
| rankwidth-dev-0.9-r4.apk | 2.9 KiB | 2025-10-28 02:16:01 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-10-25 19:09:06 |
| remake-dev-1.5-r1.apk | 2.9 KiB | 2024-10-25 19:08:23 |
| aggregate6-doc-1.0.14-r0.apk | 2.9 KiB | 2025-10-14 11:05:28 |
| wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-10-25 19:09:07 |
| nb-zsh-completion-7.24.0-r0.apk | 2.9 KiB | 2026-01-21 19:05:22 |
| apulse-doc-0.1.14-r0.apk | 2.9 KiB | 2025-09-09 13:17:23 |
| perl-task-catalyst-4.02-r0.apk | 2.9 KiB | 2025-06-15 04:46:47 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-10-25 19:08:07 |
| rke-doc-1.4.3-r21.apk | 3.0 KiB | 2026-01-19 00:22:30 |
| lynis-bash-completion-3.1.4-r0.apk | 3.0 KiB | 2025-07-29 08:11:28 |
| gtklock-doc-4.0.0-r0.apk | 3.0 KiB | 2025-02-01 17:06:23 |
| lowjs-doc-1.6.2-r2.apk | 3.0 KiB | 2024-10-25 19:07:38 |
| isomd5sum-doc-1.2.5-r0.apk | 3.0 KiB | 2025-07-15 10:28:49 |
| perl-regexp-trie-0.02-r0.apk | 3.0 KiB | 2025-07-15 10:28:49 |
| p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-10-25 19:08:06 |
| nb-bash-completion-7.24.0-r0.apk | 3.0 KiB | 2026-01-21 19:05:22 |
| bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-10-25 19:05:59 |
| hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-10-25 19:07:23 |
| pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-10-25 19:08:11 |
| tailspin-doc-5.5.0-r0.apk | 3.0 KiB | 2025-10-28 06:57:36 |
| hardinfo2-doc-2.2.13-r0.apk | 3.0 KiB | 2025-09-28 12:06:15 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-10-25 19:08:07 |
| faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-10-25 19:07:11 |
| geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-10-25 19:07:16 |
| hwatch-doc-0.3.11-r0.apk | 3.0 KiB | 2024-10-25 19:07:25 |
| sfizz-doc-1.2.3-r1.apk | 3.0 KiB | 2025-10-02 14:29:59 |
| libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-10-25 19:07:32 |
| opendht-doc-3.1.11-r0.apk | 3.0 KiB | 2025-01-27 20:56:37 |
| satellite-doc-1.0.0-r34.apk | 3.0 KiB | 2026-01-19 00:22:30 |
| apmpkg-doc-1.5.1-r3.apk | 3.0 KiB | 2024-10-25 19:05:54 |
| way-secure-doc-0.2.0-r0.apk | 3.0 KiB | 2025-04-18 22:10:43 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3.0 KiB | 2025-06-08 16:07:13 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.0 KiB | 2024-10-25 19:08:07 |
| perl-text-german-doc-0.06-r0.apk | 3.0 KiB | 2025-06-07 02:00:14 |
| ouch-fish-completion-0.6.1-r0.apk | 3.0 KiB | 2025-05-28 07:38:11 |
| llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-10-25 19:07:37 |
| py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-10-25 19:08:15 |
| qgis-doc-3.44.5-r0.apk | 3.0 KiB | 2025-12-04 13:54:42 |
| perl-moosex-types-stringlike-0.003-r0.apk | 3.0 KiB | 2025-03-15 14:08:39 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 3.0 KiB | 2025-06-09 16:27:11 |
| snore-doc-0.3.1-r0.apk | 3.0 KiB | 2024-10-25 19:08:47 |
| py3-cchardet-pyc-2.1.7-r5.apk | 3.1 KiB | 2024-10-25 19:08:15 |
| vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-10-25 19:09:06 |
| mkg3a-doc-0.5.0-r1.apk | 3.1 KiB | 2024-10-25 19:07:49 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 3.1 KiB | 2025-06-08 16:07:13 |
| sedutil-doc-1.15.1-r1.apk | 3.1 KiB | 2024-10-25 19:08:46 |
| perl-class-unload-doc-0.11-r0.apk | 3.1 KiB | 2025-06-04 19:41:58 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-10-25 19:08:17 |
| perl-linux-pid-doc-0.04-r15.apk | 3.1 KiB | 2025-07-01 18:10:43 |
| perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk | 3.1 KiB | 2024-12-26 09:36:36 |
| csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-10-25 19:06:06 |
| alacritty-graphics-fish-completion-0.16.1-r0.apk | 3.1 KiB | 2025-12-18 00:03:06 |
| perl-catalystx-profile-0.02-r0.apk | 3.1 KiB | 2025-06-15 04:46:47 |
| xcape-doc-1.2-r1.apk | 3.1 KiB | 2025-05-14 18:17:54 |
| perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk | 3.1 KiB | 2024-12-27 19:25:03 |
| ruby-event_emitter-0.2.6-r0.apk | 3.1 KiB | 2025-05-26 00:00:03 |
| wf-shell-doc-0.9.0-r0.apk | 3.1 KiB | 2025-02-27 20:17:05 |
| perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk | 3.1 KiB | 2024-12-26 09:36:36 |
| perl-data-binary-doc-0.01-r0.apk | 3.1 KiB | 2025-07-10 02:28:25 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-10-25 19:08:07 |
| luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-10-25 19:07:38 |
| tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-10-25 19:09:04 |
| harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-10-25 19:07:22 |
| usb-moded-notify-0.3.0-r0.apk | 3.1 KiB | 2025-12-28 18:16:52 |
| rpi-imager-doc-1.9.0-r1.apk | 3.1 KiB | 2025-07-24 13:42:51 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-10-25 19:08:13 |
| perl-perlio-locale-doc-0.10-r13.apk | 3.1 KiB | 2025-07-01 18:10:43 |
| memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-10-25 19:07:46 |
| dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-10-25 19:07:06 |
| ouch-zsh-completion-0.6.1-r0.apk | 3.1 KiB | 2025-05-28 07:38:11 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 3.1 KiB | 2025-03-19 11:44:15 |
| update-sysfs-2.1.1_p7-r0.apk | 3.1 KiB | 2025-11-12 10:44:48 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-10-25 19:08:15 |
| ptouch-print-doc-1.7-r0.apk | 3.1 KiB | 2025-09-13 13:05:44 |
| perl-hash-merge-extra-0.06-r0.apk | 3.1 KiB | 2025-06-20 15:18:41 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-10-25 19:08:15 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.1 KiB | 2024-10-25 19:08:07 |
| beard-0.4-r0.apk | 3.1 KiB | 2024-10-25 19:05:59 |
| laze-bash-completion-0.1.38-r0.apk | 3.1 KiB | 2025-07-24 13:42:48 |
| makedumpfile-openrc-1.7.8-r0.apk | 3.1 KiB | 2025-11-05 14:24:57 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-10-25 19:08:19 |
| jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-10-25 19:07:26 |
| ptylie-doc-0.2-r2.apk | 3.2 KiB | 2025-05-12 21:20:41 |
| caffeine-ng-doc-4.2.0-r1.apk | 3.2 KiB | 2024-10-25 19:06:00 |
| timeshift-doc-25.12.4-r0.apk | 3.2 KiB | 2026-01-08 21:42:25 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 3.2 KiB | 2025-06-09 12:05:48 |
| perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-10-25 19:08:07 |
| cocogitto-bash-completion-6.5.0-r0.apk | 3.2 KiB | 2025-11-02 18:21:50 |
| perl-tie-toobject-doc-0.03-r0.apk | 3.2 KiB | 2025-03-19 11:44:15 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 3.2 KiB | 2025-11-02 18:21:50 |
| lxappearance-dev-0.6.3-r4.apk | 3.2 KiB | 2026-01-14 15:24:04 |
| trippy-bash-completion-0.13.0-r0.apk | 3.2 KiB | 2025-05-19 08:28:37 |
| py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-10-25 19:08:15 |
| today-6.2.1-r0.apk | 3.2 KiB | 2025-06-20 04:10:16 |
| ty-fish-completion-0.0.4-r0.apk | 3.2 KiB | 2025-12-21 18:52:14 |
| cpufetch-doc-1.07-r0.apk | 3.2 KiB | 2025-11-01 10:01:07 |
| glow-doc-2.1.1-r6.apk | 3.2 KiB | 2026-01-19 00:22:19 |
| libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-12-27 22:09:47 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 3.2 KiB | 2025-09-09 13:17:33 |
| witchery-0.0.3-r2.apk | 3.2 KiB | 2024-10-25 19:09:07 |
| py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-10-25 19:08:15 |
| xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-10-25 19:09:09 |
| perl-math-libm-doc-1.00-r15.apk | 3.2 KiB | 2025-07-01 18:10:43 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 3.2 KiB | 2025-06-08 16:07:13 |
| nuzzle-doc-1.6-r0.apk | 3.2 KiB | 2025-01-25 07:04:13 |
| py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-10-25 19:08:15 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3.2 KiB | 2025-10-20 19:44:32 |
| openocd-git-doc-0_git20251018-r1.apk | 3.2 KiB | 2025-10-20 19:44:32 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3.2 KiB | 2025-06-09 16:27:11 |
| wl-screenrec-fish-completion-0.1.7-r1.apk | 3.2 KiB | 2025-08-27 16:17:12 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3.2 KiB | 2025-05-11 11:57:51 |
| tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-10-25 19:08:55 |
| kbs2-bash-completion-0.7.3-r0.apk | 3.2 KiB | 2025-07-30 07:28:52 |
| logc-libevent-0.1.0-r0.apk | 3.2 KiB | 2024-10-25 19:07:37 |
| perl-module-extract-version-1.119-r0.apk | 3.2 KiB | 2025-09-09 13:17:33 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3.3 KiB | 2025-06-08 16:07:13 |
| perl-flowd-doc-0.9.1-r11.apk | 3.3 KiB | 2025-07-01 18:10:43 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3.3 KiB | 2024-10-25 19:08:15 |
| oniux-doc-0.7.0-r0.apk | 3.3 KiB | 2026-01-19 00:22:27 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-10-25 19:07:19 |
| zita-resampler-dev-1.11.2-r0.apk | 3.3 KiB | 2025-04-14 21:16:08 |
| capsudo-openrc-0.1.2-r0.apk | 3.3 KiB | 2026-01-24 20:40:58 |
| py3-allfiles-pyc-1.0-r8.apk | 3.3 KiB | 2024-10-25 19:08:14 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-10-25 19:08:13 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-10-25 19:08:03 |
| prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-10-25 19:08:12 |
| fastd-doc-23-r0.apk | 3.3 KiB | 2025-01-27 21:33:07 |
| initify-0_git20171210-r1.apk | 3.3 KiB | 2024-10-25 19:07:25 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-10-25 19:08:03 |
| perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk | 3.3 KiB | 2025-06-20 04:10:15 |
| wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-10-25 19:09:07 |
| perl-catalystx-repl-0.04-r0.apk | 3.3 KiB | 2025-05-11 11:57:51 |
| py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-10-25 19:08:15 |
| today-doc-6.2.1-r0.apk | 3.3 KiB | 2025-06-20 04:10:16 |
| hub-fish-completion-2.14.2-r37.apk | 3.3 KiB | 2026-01-19 00:22:21 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-10-25 19:08:15 |
| sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-10-25 19:08:49 |
| mergerfs-doc-2.41.1-r0.apk | 3.3 KiB | 2025-12-13 16:13:11 |
| click-doc-0.5.2-r4.apk | 3.3 KiB | 2025-02-22 12:17:53 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.3 KiB | 2025-05-11 11:57:50 |
| perl-regexp-trie-doc-0.02-r0.apk | 3.3 KiB | 2025-07-15 10:28:49 |
| libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-10-30 22:44:42 |
| fatrace-doc-0.18.0-r0.apk | 3.3 KiB | 2025-07-27 19:28:49 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-10-25 19:07:55 |
| ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-10-25 19:06:00 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-10-25 19:08:07 |
| pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-10-25 19:08:09 |
| perl-array-diff-0.09-r0.apk | 3.3 KiB | 2025-07-10 02:28:25 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-10-25 19:08:14 |
| netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-10-25 19:07:52 |
| pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-10-25 19:08:06 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-10-25 19:08:19 |
| hyfetch-bash-completion-2.0.5-r0.apk | 3.3 KiB | 2025-11-21 18:05:51 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-10-25 19:08:02 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-10-25 19:08:15 |
| compiz-utils-0.9.14.2-r13.apk | 3.3 KiB | 2025-11-29 00:00:59 |
| ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-10-25 19:08:49 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3.3 KiB | 2025-10-16 17:07:38 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.3 KiB | 2025-05-11 11:57:51 |
| perl-devel-leak-doc-0.03-r14.apk | 3.3 KiB | 2025-07-01 18:10:43 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3.3 KiB | 2025-01-25 07:04:23 |
| openocd-git-dev-0_git20251018-r1.apk | 3.3 KiB | 2025-10-20 19:44:32 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3.3 KiB | 2025-07-15 10:28:49 |
| solarus-engine-doc-1.7.0-r2.apk | 3.3 KiB | 2025-07-24 13:42:51 |
| noice-doc-0.8-r1.apk | 3.4 KiB | 2024-10-25 19:07:54 |
| rezolus-doc-2.11.1-r3.apk | 3.4 KiB | 2024-10-25 19:08:23 |
| httpie-oauth-1.0.2-r9.apk | 3.4 KiB | 2024-10-25 19:07:24 |
| perl-devel-trace-0.12-r0.apk | 3.4 KiB | 2025-07-06 19:07:59 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3.4 KiB | 2025-06-20 15:18:41 |
| snapper-bash-completion-0.13.0-r0.apk | 3.4 KiB | 2025-09-19 14:53:45 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3.4 KiB | 2025-06-15 04:46:47 |
| alacritty-graphics-zsh-completion-0.16.1-r0.apk | 3.4 KiB | 2025-12-18 00:03:06 |
| lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-10-25 19:07:38 |
| sct-2018.12.18-r1.apk | 3.4 KiB | 2024-10-25 19:08:43 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2024-10-25 19:08:18 |
| pixiewps-doc-1.4.2-r2.apk | 3.4 KiB | 2025-05-12 21:20:41 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3.4 KiB | 2025-10-08 10:22:43 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3.4 KiB | 2025-05-11 11:57:51 |
| wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-10-25 19:09:07 |
| py3-aesedb-examples-0.1.8-r0.apk | 3.4 KiB | 2025-11-03 06:49:14 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.4 KiB | 2025-05-11 11:57:51 |
| aptdec-dev-1.8.0-r1.apk | 3.4 KiB | 2025-02-08 23:44:09 |
| perl-template-timer-1.00-r0.apk | 3.4 KiB | 2025-04-12 15:51:53 |
| perl-string-camelcase-doc-0.04-r2.apk | 3.4 KiB | 2024-10-25 19:08:07 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-10-25 19:08:15 |
| laze-fish-completion-0.1.38-r0.apk | 3.4 KiB | 2025-07-24 13:42:48 |
| kbs2-fish-completion-0.7.3-r0.apk | 3.4 KiB | 2025-07-30 07:28:52 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.4 KiB | 2025-06-08 16:07:13 |
| libuninameslist-dev-20230916-r0.apk | 3.4 KiB | 2024-10-25 19:07:35 |
| perl-text-simpletable-doc-2.07-r0.apk | 3.5 KiB | 2025-03-19 11:44:15 |
| perl-module-extract-version-doc-1.119-r0.apk | 3.5 KiB | 2025-09-09 13:17:33 |
| doasedit-1.0.9-r0.apk | 3.5 KiB | 2025-10-31 11:57:23 |
| git-quick-stats-doc-2.8.0-r0.apk | 3.5 KiB | 2025-09-11 08:18:33 |
| perl-devel-trace-doc-0.12-r0.apk | 3.5 KiB | 2025-07-06 19:07:59 |
| perl-test-expect-0.34-r0.apk | 3.5 KiB | 2025-04-22 21:24:52 |
| py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-10-25 19:08:19 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-10-25 19:07:55 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.5 KiB | 2024-10-25 19:08:19 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.5 KiB | 2025-06-08 16:07:13 |
| copyq-doc-13.0.0-r1.apk | 3.5 KiB | 2025-12-04 13:54:13 |
| twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-01-04 21:55:13 |
| ty-zsh-completion-0.0.4-r0.apk | 3.5 KiB | 2025-12-21 18:52:14 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3.5 KiB | 2025-10-20 19:44:32 |
| tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-12-01 17:09:08 |
| enlighten-doc-0.9.2-r1.apk | 3.5 KiB | 2024-10-25 19:07:10 |
| extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-10-25 19:07:10 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3.5 KiB | 2025-06-15 04:46:47 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.5 KiB | 2025-06-07 14:55:33 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-10-25 19:07:55 |
| shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-10-25 19:08:46 |
| rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-10-25 19:08:23 |
| xiccd-doc-0.4.1-r0.apk | 3.5 KiB | 2026-01-06 14:31:57 |
| nvtop-doc-3.2.0-r0.apk | 3.5 KiB | 2025-05-11 11:57:50 |
| kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-10-25 19:07:27 |
| uclient-dev-20251003-r0.apk | 3.5 KiB | 2026-01-05 19:39:24 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3.5 KiB | 2025-06-06 14:57:09 |
| cutechess-doc-1.3.1-r0.apk | 3.5 KiB | 2024-10-25 19:06:06 |
| mint-y-theme-2.3.7-r1.apk | 3.5 KiB | 2026-01-24 14:18:44 |
| openocd-git-udev-0_git20251018-r1.apk | 3.5 KiB | 2025-10-20 19:44:32 |
| ffsend-fish-completion-0.2.76-r4.apk | 3.5 KiB | 2024-10-25 19:07:12 |
| fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-10-25 19:07:12 |
| perl-moox-typetiny-0.002003-r0.apk | 3.5 KiB | 2025-06-09 12:05:48 |
| gsettings-qt-dev-1.1.0-r0.apk | 3.5 KiB | 2025-12-10 17:06:24 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.6 KiB | 2025-06-08 16:07:13 |
| py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-10-25 19:08:14 |
| snapper-zsh-completion-0.13.0-r0.apk | 3.6 KiB | 2025-09-19 14:53:45 |
| perl-test-expect-doc-0.34-r0.apk | 3.6 KiB | 2025-04-22 21:24:52 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.6 KiB | 2025-03-15 14:08:39 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.6 KiB | 2025-05-11 11:57:51 |
| ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-10-25 19:07:12 |
| ijq-doc-1.2.0-r3.apk | 3.6 KiB | 2026-01-19 00:22:22 |
| py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-10-25 19:08:15 |
| pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-10-25 19:08:06 |
| laze-doc-0.1.38-r0.apk | 3.6 KiB | 2025-07-24 13:42:48 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-10-25 19:08:07 |
| lua-pkgbuild-1.0.0-r0.apk | 3.6 KiB | 2026-01-23 00:22:03 |
| lua-resty-upload-0.11-r0.apk | 3.6 KiB | 2024-10-25 19:07:38 |
| rattler-build-bash-completion-0.18.0-r0.apk | 3.6 KiB | 2024-10-25 19:08:22 |
| opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-10-25 19:08:04 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3.6 KiB | 2025-05-11 11:57:51 |
| bcg729-dev-1.1.1-r1.apk | 3.6 KiB | 2025-10-15 08:20:52 |
| hurl-fish-completion-7.1.0-r0.apk | 3.6 KiB | 2025-12-05 18:41:45 |
| py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-10-25 19:08:15 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3.6 KiB | 2025-08-19 19:54:05 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3.6 KiB | 2025-03-19 11:44:15 |
| wayfire-doc-0.9.0-r0.apk | 3.6 KiB | 2025-02-27 20:17:05 |
| py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-10-25 19:08:18 |
| perl-catalyst-plugin-session-store-file-0.18-r0.apk | 3.6 KiB | 2025-06-14 02:46:46 |
| otpclient-doc-4.1.1-r0.apk | 3.6 KiB | 2025-07-24 13:42:50 |
| wl-screenrec-zsh-completion-0.1.7-r1.apk | 3.7 KiB | 2025-08-27 16:17:12 |
| perl-template-timer-doc-1.00-r0.apk | 3.7 KiB | 2025-04-12 15:51:53 |
| flamelens-doc-0.3.1-r0.apk | 3.7 KiB | 2025-05-11 11:57:41 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.7 KiB | 2025-05-11 11:57:51 |
| pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-10-25 19:08:14 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3.7 KiB | 2025-10-20 19:44:32 |
| libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-10-25 19:07:33 |
| wsmancli-doc-2.8.0-r0.apk | 3.7 KiB | 2025-07-15 10:28:50 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3.7 KiB | 2024-10-25 19:08:15 |
| wok-doc-3.0.0-r6.apk | 3.7 KiB | 2024-10-25 19:09:08 |
| kew-doc-3.7.3-r0.apk | 3.7 KiB | 2026-01-06 13:43:27 |
| perl-object-signature-1.08-r0.apk | 3.7 KiB | 2025-04-04 11:56:57 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3.7 KiB | 2025-07-15 10:28:49 |
| laze-zsh-completion-0.1.38-r0.apk | 3.7 KiB | 2025-07-24 13:42:48 |
| captive-browser-doc-0_git20210801-r3.apk | 3.7 KiB | 2026-01-19 00:22:15 |
| perl-task-catalyst-doc-4.02-r0.apk | 3.7 KiB | 2025-06-15 04:46:47 |
| pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-12-14 19:23:33 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3.7 KiB | 2025-08-10 21:05:06 |
| gnome-mimeapps-0.1-r1.apk | 3.7 KiB | 2025-08-09 00:22:03 |
| rdrview-doc-0.1.3-r0.apk | 3.7 KiB | 2025-02-22 19:22:24 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3.7 KiB | 2025-07-15 10:28:49 |
| dfl-login1-dev-0.3.0-r0.apk | 3.7 KiB | 2025-08-21 07:56:20 |
| toml2json-doc-1.3.2-r0.apk | 3.7 KiB | 2025-09-01 13:13:36 |
| dropwatch-doc-1.5.5-r2.apk | 3.7 KiB | 2025-12-04 13:54:18 |
| hub-zsh-completion-2.14.2-r37.apk | 3.7 KiB | 2026-01-19 00:22:21 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-10-25 19:08:13 |
| rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-10-25 19:08:22 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-10-25 19:08:03 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-10-25 19:08:15 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-10-25 19:08:18 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-10-25 19:08:15 |
| py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-10-25 19:08:15 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.7 KiB | 2025-05-11 11:57:51 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3.7 KiB | 2025-06-06 14:57:09 |
| perl-date-range-1.41-r0.apk | 3.7 KiB | 2025-07-08 16:53:50 |
| pihole-doc-6.2.3-r0.apk | 3.8 KiB | 2025-06-20 18:05:20 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3.8 KiB | 2025-11-02 18:21:50 |
| rankwidth-static-0.9-r4.apk | 3.8 KiB | 2025-10-28 02:16:01 |
| volumeicon-lang-0.5.1-r1.apk | 3.8 KiB | 2024-10-25 19:09:06 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-10-25 19:07:55 |
| logc-czmq-0.1.0-r0.apk | 3.8 KiB | 2024-10-25 19:07:37 |
| finger-doc-0.5-r0.apk | 3.8 KiB | 2024-10-25 19:07:12 |
| libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-10-25 19:07:35 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3.8 KiB | 2025-03-16 04:03:23 |
| mml-doc-1.0.0-r1.apk | 3.8 KiB | 2025-09-30 00:41:11 |
| swappy-lang-1.7.1-r0.apk | 3.8 KiB | 2025-08-21 23:29:33 |
| nwipe-doc-0.39-r0.apk | 3.8 KiB | 2025-12-05 02:01:06 |
| innernet-bash-completion-1.6.1-r0.apk | 3.8 KiB | 2024-10-25 19:07:25 |
| pwndbg-doc-2025.10.20-r0.apk | 3.8 KiB | 2025-12-31 14:33:53 |
| perl-email-mime-attachment-stripper-doc-1.317-r5.apk | 3.8 KiB | 2024-10-25 19:08:07 |
| perl-term-size-doc-0.211-r5.apk | 3.8 KiB | 2025-07-01 18:10:43 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3.8 KiB | 2025-03-19 14:53:02 |
| tuptime-doc-5.2.4-r2.apk | 3.8 KiB | 2025-09-09 13:17:38 |
| gupnp-doc-1.6.9-r1.apk | 3.8 KiB | 2025-08-09 00:22:03 |
| swappy-doc-1.7.1-r0.apk | 3.8 KiB | 2025-08-21 23:29:33 |
| ouch-doc-0.6.1-r0.apk | 3.8 KiB | 2025-05-28 07:38:11 |
| hyprpicker-doc-0.4.5-r1.apk | 3.8 KiB | 2025-12-14 10:57:44 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3.8 KiB | 2025-06-08 16:07:13 |
| perl-context-preserve-0.03-r4.apk | 3.8 KiB | 2024-10-25 19:08:07 |
| csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-10-25 19:06:06 |
| symlinks-doc-1.4.3-r0.apk | 3.9 KiB | 2025-04-22 21:24:52 |
| perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-10-25 19:08:07 |
| foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-10-25 19:07:13 |
| perl-bind-config-parser-0.01-r5.apk | 3.9 KiB | 2024-10-25 19:08:07 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3.9 KiB | 2024-10-25 19:08:15 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3.9 KiB | 2025-06-08 16:07:13 |
| mdp-doc-1.0.18-r0.apk | 3.9 KiB | 2025-07-10 02:28:17 |
| ty-pyc-0.0.4-r0.apk | 3.9 KiB | 2025-12-21 18:52:14 |
| coldbrew-1.0-r0.apk | 3.9 KiB | 2026-01-06 20:10:07 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3.9 KiB | 2025-08-28 01:19:55 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3.9 KiB | 2025-06-14 02:46:46 |
| perl-array-diff-doc-0.09-r0.apk | 3.9 KiB | 2025-07-10 02:28:25 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3.9 KiB | 2025-07-15 20:03:25 |
| z-doc-1.12-r0.apk | 3.9 KiB | 2024-10-25 19:09:11 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3.9 KiB | 2025-06-08 16:07:13 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3.9 KiB | 2025-06-09 12:06:08 |
| tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-10-25 19:08:55 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-10-25 19:08:19 |
| mangal-fish-completion-4.0.6-r24.apk | 3.9 KiB | 2026-01-19 00:22:25 |
| perl-log-message-simple-doc-0.10-r3.apk | 3.9 KiB | 2024-10-25 19:08:07 |
| dfl-applications-dev-0.3.0-r0.apk | 3.9 KiB | 2025-08-21 07:56:20 |
| py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-10-25 19:08:15 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 3.9 KiB | 2025-06-06 14:57:09 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.9 KiB | 2024-10-25 19:08:21 |
| taskwarrior-tui-doc-0.26.3-r0.apk | 3.9 KiB | 2025-03-26 10:25:04 |
| microsocks-doc-1.0.5-r0.apk | 3.9 KiB | 2025-12-21 23:53:12 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 4.0 KiB | 2024-10-25 19:08:07 |
| boost1.89-context-1.89.0-r1.apk | 4.0 KiB | 2026-01-19 00:22:15 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-10-25 19:08:07 |
| ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4.0 KiB | 2024-10-25 19:08:05 |
| welle-io-doc-2.7-r0.apk | 4.0 KiB | 2025-04-07 18:04:05 |
| amdgpu_top-doc-0.11.0-r0.apk | 4.0 KiB | 2025-09-03 00:48:36 |
| py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-10-25 19:08:17 |
| py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-10-25 19:08:15 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 4.0 KiB | 2025-10-20 13:57:35 |
| k3sup-zsh-completion-0.13.6-r11.apk | 4.0 KiB | 2026-01-19 00:22:22 |
| cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-10-25 19:06:05 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 4.0 KiB | 2025-05-11 11:57:51 |
| mangal-zsh-completion-4.0.6-r24.apk | 4.0 KiB | 2026-01-19 00:22:25 |
| envsubst-0.1-r1.apk | 4.0 KiB | 2024-10-25 19:07:10 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 4.0 KiB | 2025-03-15 14:08:39 |
| perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk | 4.0 KiB | 2025-06-14 02:46:46 |
| lua5.2-editorconfig-0.3.0-r0.apk | 4.0 KiB | 2024-10-25 19:07:38 |
| nom-doc-2.8.0-r9.apk | 4.0 KiB | 2026-01-19 00:22:26 |
| perl-file-treecreate-0.0.1-r0.apk | 4.0 KiB | 2025-07-15 10:28:49 |
| colorpicker-0_git20201128-r1.apk | 4.0 KiB | 2024-10-25 19:06:04 |
| ko-zsh-completion-0.17.1-r11.apk | 4.0 KiB | 2026-01-19 00:22:23 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-10-25 19:08:15 |
| topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-10-25 19:08:56 |
| otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-10-25 19:08:04 |
| colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-10-25 19:06:04 |
| knative-client-zsh-completion-1.19.6-r2.apk | 4.0 KiB | 2026-01-19 00:22:23 |
| py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-10-25 19:08:18 |
| upterm-zsh-completion-0.17.0-r2.apk | 4.0 KiB | 2026-01-19 00:22:36 |
| pwauth-2.3.11-r2.apk | 4.0 KiB | 2024-10-25 19:08:14 |
| semaphoreui-zsh-completion-2.16.37-r2.apk | 4.0 KiB | 2026-01-19 00:22:31 |
| zita-resampler-doc-1.11.2-r0.apk | 4.0 KiB | 2025-04-14 21:16:08 |
| perl-date-range-doc-1.41-r0.apk | 4.0 KiB | 2025-07-08 16:53:50 |
| tenv-zsh-completion-4.9.0-r2.apk | 4.0 KiB | 2026-01-19 00:22:33 |
| stern-zsh-completion-1.33.0-r2.apk | 4.0 KiB | 2026-01-19 00:22:32 |
| timoni-zsh-completion-0.23.0-r11.apk | 4.0 KiB | 2026-01-19 00:22:34 |
| admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-10-25 19:05:52 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 4.0 KiB | 2025-03-19 14:53:02 |
| virtctl-zsh-completion-1.6.2-r2.apk | 4.0 KiB | 2026-01-19 00:22:37 |
| perl-plack-middleware-methodoverride-doc-0.20-r0.apk | 4.0 KiB | 2025-03-19 11:44:15 |
| kraftkit-zsh-completion-0.12.3-r2.apk | 4.0 KiB | 2026-01-19 00:22:24 |
| cilium-cli-zsh-completion-0.16.13-r11.apk | 4.0 KiB | 2026-01-19 00:22:16 |
| wgcf-zsh-completion-2.2.29-r2.apk | 4.0 KiB | 2026-01-19 00:22:38 |
| glow-zsh-completion-2.1.1-r6.apk | 4.0 KiB | 2026-01-19 00:22:19 |
| kbs2-zsh-completion-0.7.3-r0.apk | 4.0 KiB | 2025-07-30 07:28:52 |
| regal-zsh-completion-0.36.1-r2.apk | 4.0 KiB | 2026-01-19 00:22:29 |
| kubepug-zsh-completion-1.7.1-r16.apk | 4.0 KiB | 2026-01-19 00:22:25 |
| helmfile-zsh-completion-1.1.8-r2.apk | 4.0 KiB | 2026-01-19 00:22:21 |
| lua5.3-editorconfig-0.3.0-r0.apk | 4.0 KiB | 2024-10-25 19:07:38 |
| hubble-cli-zsh-completion-0.13.6-r11.apk | 4.1 KiB | 2026-01-19 00:22:22 |
| lua5.4-editorconfig-0.3.0-r0.apk | 4.1 KiB | 2024-10-25 19:07:38 |
| virter-zsh-completion-0.29.0-r6.apk | 4.1 KiB | 2026-01-19 00:22:37 |
| git-bug-zsh-completion-0.8.1-r7.apk | 4.1 KiB | 2026-01-19 00:22:19 |
| ticker-zsh-completion-5.0.7-r8.apk | 4.1 KiB | 2026-01-19 00:22:33 |
| bomctl-zsh-completion-0.1.9-r12.apk | 4.1 KiB | 2026-01-19 00:22:15 |
| authenticator-rs-lang-0.8.6-r0.apk | 4.1 KiB | 2025-09-01 18:27:04 |
| gmenuharness-dev-0.1.4-r2.apk | 4.1 KiB | 2025-02-22 12:17:56 |
| primesieve-doc-12.10-r0.apk | 4.1 KiB | 2025-11-25 19:08:09 |
| heh-doc-0.6.3-r0.apk | 4.1 KiB | 2026-01-21 19:05:21 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-10-25 19:08:07 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 4.1 KiB | 2025-07-01 18:10:43 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-10-25 19:08:15 |
| py3-logtop-pyc-0.7-r1.apk | 4.1 KiB | 2025-08-09 00:22:05 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-10-25 19:08:15 |
| eatmemory-0.1.6-r2.apk | 4.1 KiB | 2024-10-25 19:07:06 |
| dmenu-wl-doc-0.1-r0.apk | 4.1 KiB | 2025-07-02 10:32:47 |
| perl-moosex-configfromfile-0.14-r0.apk | 4.1 KiB | 2025-03-16 04:03:23 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-10-25 19:08:19 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-10-25 19:08:15 |
| ampy-doc-1.1.0-r6.apk | 4.1 KiB | 2025-03-19 11:43:49 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 4.1 KiB | 2025-07-30 15:07:26 |
| py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-10-25 19:08:15 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-10-25 19:08:18 |
| hurl-zsh-completion-7.1.0-r0.apk | 4.1 KiB | 2025-12-05 18:41:45 |
| agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-10-25 19:05:53 |
| cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-10-25 19:06:02 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4.1 KiB | 2025-03-15 14:08:39 |
| perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-10-25 19:08:07 |
| perl-lingua-stem-ru-0.04-r0.apk | 4.1 KiB | 2025-06-06 14:57:09 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 4.1 KiB | 2025-04-04 09:42:55 |
| repgrep-fish-completion-0.15.0-r0.apk | 4.1 KiB | 2024-10-25 19:08:23 |
| perl-archive-any-lite-0.11-r0.apk | 4.1 KiB | 2025-07-15 10:28:49 |
| igrep-doc-1.2.0-r0.apk | 4.1 KiB | 2024-10-25 19:07:25 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-10-25 19:08:19 |
| flauschige-uhr-0.1-r1.apk | 4.1 KiB | 2024-10-25 19:07:12 |
| checkpolicy-doc-3.6-r0.apk | 4.1 KiB | 2024-10-25 19:06:02 |
| rauc-doc-1.10.1-r0.apk | 4.1 KiB | 2024-10-25 19:08:22 |
| py3-wikipedia-doc-1.4.0-r0.apk | 4.1 KiB | 2025-09-14 06:32:01 |
| makeclapman-doc-2.4.4-r11.apk | 4.1 KiB | 2026-01-19 00:22:25 |
| infnoise-doc-0.3.3-r0.apk | 4.1 KiB | 2025-05-26 08:58:44 |
| w_scan2-doc-1.0.17-r0.apk | 4.2 KiB | 2025-06-11 17:31:40 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-10-25 19:07:55 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 4.2 KiB | 2025-03-16 04:03:23 |
| uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-10-25 19:09:05 |
| libirecovery-dev-1.3.0-r0.apk | 4.2 KiB | 2025-10-08 10:22:43 |
| nwg-look-doc-1.0.6-r2.apk | 4.2 KiB | 2026-01-19 00:22:26 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 4.2 KiB | 2025-03-16 04:03:23 |
| fbcur-1.0.1-r1.apk | 4.2 KiB | 2024-10-25 19:07:11 |
| py3-mando-doc-0.8.2-r0.apk | 4.2 KiB | 2025-12-18 11:02:53 |
| base64c-0.2.1-r0.apk | 4.2 KiB | 2024-10-25 19:05:59 |
| xvidtune-doc-1.0.4-r0.apk | 4.2 KiB | 2024-10-25 19:09:09 |
| a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-10-25 19:05:52 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 4.2 KiB | 2025-10-12 13:43:59 |
| perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-10-25 19:08:07 |
| perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-10-25 19:08:07 |
| tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-10-25 19:08:55 |
| libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-12-27 22:09:47 |
| perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-10-25 19:08:07 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-10-25 19:08:15 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 4.2 KiB | 2024-10-25 19:08:56 |
| py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-10-25 19:08:17 |
| netdiscover-doc-0.21-r0.apk | 4.2 KiB | 2025-08-15 12:45:51 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4.2 KiB | 2025-05-11 11:57:51 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4.2 KiB | 2025-07-10 02:28:25 |
| libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-10-25 19:07:33 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4.2 KiB | 2024-11-20 02:43:39 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-11-27 23:06:02 |
| primecount-doc-7.20-r0.apk | 4.2 KiB | 2025-11-25 19:08:09 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-10-25 19:08:15 |
| steamguard-cli-zsh-completion-0.17.1-r0.apk | 4.2 KiB | 2025-07-15 10:28:50 |
| perl-test-api-doc-0.010-r2.apk | 4.2 KiB | 2024-10-25 19:08:07 |
| powerstat-doc-0.04.01-r0.apk | 4.2 KiB | 2024-10-25 19:08:12 |
| nautilus-python-doc-4.0.1-r0.apk | 4.2 KiB | 2025-10-12 21:54:21 |
| qpdfview-doc-0.5-r2.apk | 4.2 KiB | 2025-01-29 19:44:57 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.2 KiB | 2024-10-25 19:08:19 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.3 KiB | 2024-10-25 19:08:15 |
| rollup-doc-4.55.2-r0.apk | 4.3 KiB | 2026-01-22 23:42:54 |
| libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-10-25 19:07:32 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4.3 KiB | 2025-07-01 18:10:43 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4.3 KiB | 2024-10-25 19:08:15 |
| k3sup-fish-completion-0.13.6-r11.apk | 4.3 KiB | 2026-01-19 00:22:22 |
| py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-10-25 19:08:15 |
| sentinel-proxy-dev-2.1.0-r1.apk | 4.3 KiB | 2025-06-13 14:11:53 |
| caps2esc-0.3.2-r0.apk | 4.3 KiB | 2024-10-25 19:06:00 |
| php82-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-10-25 19:08:08 |
| perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-10-25 19:08:07 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.3 KiB | 2025-06-07 02:00:14 |
| php83-pecl-apfd-1.0.3-r0.apk | 4.3 KiB | 2024-10-25 19:08:08 |
| pash-2.3.0-r2.apk | 4.3 KiB | 2024-10-25 19:08:06 |
| ko-fish-completion-0.17.1-r11.apk | 4.3 KiB | 2026-01-19 00:22:23 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-10-25 19:08:18 |
| xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-10-25 19:09:09 |
| knative-client-fish-completion-1.19.6-r2.apk | 4.3 KiB | 2026-01-19 00:22:23 |
| vali-dev-0.1.0-r1.apk | 4.3 KiB | 2025-11-30 11:57:20 |
| perl-perlio-locale-0.10-r13.apk | 4.3 KiB | 2025-07-01 18:10:43 |
| pdf2svg-0.2.4-r0.apk | 4.3 KiB | 2025-09-28 18:49:41 |
| stern-fish-completion-1.33.0-r2.apk | 4.3 KiB | 2026-01-19 00:22:32 |
| wgcf-fish-completion-2.2.29-r2.apk | 4.3 KiB | 2026-01-19 00:22:38 |
| timoni-fish-completion-0.23.0-r11.apk | 4.3 KiB | 2026-01-19 00:22:34 |
| perl-asa-1.04-r0.apk | 4.3 KiB | 2025-06-11 16:34:50 |
| tenv-fish-completion-4.9.0-r2.apk | 4.3 KiB | 2026-01-19 00:22:33 |
| findtow-0.1-r0.apk | 4.3 KiB | 2024-10-25 19:07:12 |
| perl-catalystx-component-traits-0.19-r0.apk | 4.3 KiB | 2025-05-11 11:57:51 |
| glow-fish-completion-2.1.1-r6.apk | 4.3 KiB | 2026-01-19 00:22:19 |
| kraftkit-fish-completion-0.12.3-r2.apk | 4.3 KiB | 2026-01-19 00:22:24 |
| semaphoreui-fish-completion-2.16.37-r2.apk | 4.3 KiB | 2026-01-19 00:22:31 |
| virter-fish-completion-0.29.0-r6.apk | 4.3 KiB | 2026-01-19 00:22:37 |
| virtctl-fish-completion-1.6.2-r2.apk | 4.3 KiB | 2026-01-19 00:22:37 |
| perl-uri-ws-doc-0.03-r0.apk | 4.3 KiB | 2025-03-19 11:44:15 |
| helmfile-fish-completion-1.1.8-r2.apk | 4.3 KiB | 2026-01-19 00:22:21 |
| regal-fish-completion-0.36.1-r2.apk | 4.3 KiB | 2026-01-19 00:22:29 |
| cilium-cli-fish-completion-0.16.13-r11.apk | 4.3 KiB | 2026-01-19 00:22:16 |
| xpar-doc-0.7-r0.apk | 4.3 KiB | 2025-09-27 14:33:41 |
| hubble-cli-fish-completion-0.13.6-r11.apk | 4.3 KiB | 2026-01-19 00:22:22 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4.3 KiB | 2025-06-08 16:07:13 |
| ticker-fish-completion-5.0.7-r8.apk | 4.3 KiB | 2026-01-19 00:22:33 |
| git-bug-fish-completion-0.8.1-r7.apk | 4.3 KiB | 2026-01-19 00:22:19 |
| gambit-doc-4.9.5-r1.apk | 4.3 KiB | 2025-04-07 10:32:57 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4.3 KiB | 2025-05-11 11:57:51 |
| kubepug-fish-completion-1.7.1-r16.apk | 4.3 KiB | 2026-01-19 00:22:25 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-10-25 19:08:19 |
| libb64-2.0.0.1-r0.apk | 4.3 KiB | 2024-10-25 19:07:32 |
| q6voiced-0.2.1-r0.apk | 4.3 KiB | 2025-12-30 13:28:22 |
| bomctl-fish-completion-0.1.9-r12.apk | 4.3 KiB | 2026-01-19 00:22:15 |
| gl2ps-dev-1.4.2-r0.apk | 4.3 KiB | 2025-10-12 21:33:12 |
| py3-daterangestr-0.0.3-r8.apk | 4.4 KiB | 2024-10-25 19:08:15 |
| cargo-machete-doc-0.9.1-r0.apk | 4.4 KiB | 2025-08-17 18:48:30 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4.4 KiB | 2025-07-01 18:10:43 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-10-25 19:08:07 |
| kompose-fish-completion-1.31.2-r16.apk | 4.4 KiB | 2026-01-19 00:22:23 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.4 KiB | 2024-10-25 19:08:18 |
| perl-parse-distname-doc-0.05-r0.apk | 4.4 KiB | 2025-07-15 10:28:49 |
| qsynth-doc-1.0.3-r0.apk | 4.4 KiB | 2025-11-25 11:01:53 |
| py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-10-25 19:08:15 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4.4 KiB | 2025-07-15 10:28:49 |
| nvimpager-doc-0.12.0-r0.apk | 4.4 KiB | 2024-10-25 19:07:55 |
| libcork-tools-0.15.0-r7.apk | 4.4 KiB | 2024-10-25 19:07:32 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-10-25 19:08:15 |
| reaction-tools-2.2.1-r0.apk | 4.4 KiB | 2025-09-23 20:48:58 |
| setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-10-25 19:08:46 |
| nwg-panel-doc-0.10.13-r0.apk | 4.4 KiB | 2025-11-28 13:29:40 |
| perl-catalyst-controller-actionrole-doc-0.17-r0.apk | 4.4 KiB | 2025-04-04 05:41:08 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.4 KiB | 2025-05-22 06:51:53 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.5 KiB | 2024-10-25 19:08:17 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4.5 KiB | 2025-06-08 16:07:13 |
| lutgen-doc-1.0.1-r0.apk | 4.5 KiB | 2025-11-20 03:26:01 |
| perl-text-simpletable-2.07-r0.apk | 4.5 KiB | 2025-03-19 11:44:15 |
| zrepl-bash-completion-0.6.1-r17.apk | 4.5 KiB | 2026-01-19 00:22:38 |
| libwhich-1.2.0-r0.apk | 4.5 KiB | 2024-10-25 19:07:35 |
| py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-10-25 19:08:15 |
| perl-catalyst-plugin-session-store-delegate-0.06-r0.apk | 4.5 KiB | 2025-06-13 18:17:49 |
| gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-11-20 02:43:39 |
| paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-10-25 19:08:06 |
| lua-apkbuild-1.1.0-r0.apk | 4.5 KiB | 2026-01-23 00:25:25 |
| perl-linux-pid-0.04-r15.apk | 4.5 KiB | 2025-07-01 18:10:43 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4.5 KiB | 2025-09-19 14:53:45 |
| wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-10-25 19:09:07 |
| perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-10-25 19:08:07 |
| innernet-fish-completion-1.6.1-r0.apk | 4.5 KiB | 2024-10-25 19:07:25 |
| speedtest-go-doc-1.1.5-r21.apk | 4.5 KiB | 2026-01-19 00:22:31 |
| gr-satellites-doc-5.5.0-r6.apk | 4.5 KiB | 2025-10-12 12:12:58 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.5 KiB | 2024-10-25 19:08:18 |
| perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk | 4.5 KiB | 2025-06-13 18:17:49 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-10-25 19:08:15 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-10-25 19:08:42 |
| perl-data-clone-doc-0.006-r1.apk | 4.5 KiB | 2025-07-01 18:10:43 |
| py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-10-25 19:08:18 |
| iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-10-25 19:07:25 |
| wiki-tui-doc-0.9.1-r0.apk | 4.5 KiB | 2025-11-02 18:13:20 |
| php82-ctype-8.2.30-r2.apk | 4.5 KiB | 2026-01-14 03:13:20 |
| ffsend-zsh-completion-0.2.76-r4.apk | 4.5 KiB | 2024-10-25 19:07:12 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4.5 KiB | 2025-06-15 04:46:47 |
| way-displays-doc-1.15.0-r0.apk | 4.5 KiB | 2025-09-30 09:47:21 |
| apache2-mod-realdoc-1-r1.apk | 4.6 KiB | 2024-10-25 19:05:54 |
| godot-doc-4.5.1-r1.apk | 4.6 KiB | 2026-01-14 03:13:18 |
| perl-pod-cpandoc-0.16-r6.apk | 4.6 KiB | 2024-10-25 19:08:07 |
| php81-ctype-8.1.34-r1.apk | 4.6 KiB | 2026-01-14 03:13:20 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-10-25 19:08:07 |
| fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-10-25 19:07:12 |
| z-1.12-r0.apk | 4.6 KiB | 2024-10-25 19:09:11 |
| hub-bash-completion-2.14.2-r37.apk | 4.6 KiB | 2026-01-19 00:22:21 |
| warpinator-nemo-2.0.3-r0.apk | 4.6 KiB | 2026-01-19 00:22:37 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4.6 KiB | 2025-10-27 08:30:46 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4.6 KiB | 2025-06-07 14:55:33 |
| nitro-init-doc-0.7-r0.apk | 4.6 KiB | 2026-01-19 00:22:26 |
| py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-10-25 19:08:15 |
| py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-10-25 19:08:19 |
| ry-0.5.2-r1.apk | 4.6 KiB | 2024-10-25 19:08:25 |
| apt-mirror-doc-0.5.4-r0.apk | 4.6 KiB | 2024-10-25 19:05:55 |
| surf-doc-2.1-r3.apk | 4.6 KiB | 2024-10-25 19:08:52 |
| libuecc-dev-7-r4.apk | 4.6 KiB | 2025-03-03 16:22:14 |
| clevis-extra-pins-0_git20230629-r0.apk | 4.6 KiB | 2024-10-25 19:06:03 |
| spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-10-25 19:08:49 |
| py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-10-25 19:08:15 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4.6 KiB | 2025-01-27 21:37:35 |
| logc-config-0.5.0-r1.apk | 4.7 KiB | 2025-06-13 14:11:53 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4.7 KiB | 2024-10-25 19:08:18 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4.7 KiB | 2025-06-14 02:46:46 |
| rankwidth-libs-0.9-r4.apk | 4.7 KiB | 2025-10-28 02:16:01 |
| perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-10-25 19:08:07 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.7 KiB | 2025-03-14 16:31:46 |
| rofi-json-menu-0.2.0-r1.apk | 4.7 KiB | 2024-10-25 19:08:24 |
| reap-0.2-r0.apk | 4.7 KiB | 2025-09-13 13:05:44 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-10-25 19:08:15 |
| jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-10-25 19:07:26 |
| py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-10-25 19:08:15 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-10-25 19:08:02 |
| i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-10-25 19:07:25 |
| perl-module-path-0.19-r0.apk | 4.7 KiB | 2025-06-08 16:07:13 |
| duf-doc-0.9.1-r3.apk | 4.7 KiB | 2026-01-19 00:22:18 |
| rattler-build-fish-completion-0.18.0-r0.apk | 4.7 KiB | 2024-10-25 19:08:22 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-10-25 19:07:49 |
| soundconverter-doc-4.1.1-r0.apk | 4.7 KiB | 2025-07-29 13:12:29 |
| console_bridge-dev-1.0.2-r0.apk | 4.7 KiB | 2024-10-25 19:06:05 |
| xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-10-25 19:09:09 |
| snore-0.3.1-r0.apk | 4.7 KiB | 2024-10-25 19:08:47 |
| py3-doi-pyc-0.2-r0.apk | 4.7 KiB | 2025-04-12 12:23:08 |
| bat-extras-batman-2024.08.24-r0.apk | 4.8 KiB | 2025-10-12 20:47:05 |
| py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-10-25 19:08:15 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4.8 KiB | 2025-07-15 20:03:25 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4.8 KiB | 2025-07-06 18:16:51 |
| hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-10-25 19:07:25 |
| perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-10-25 19:08:07 |
| dfl-ipc-dev-0.3.0-r0.apk | 4.8 KiB | 2025-08-21 07:56:20 |
| ruby-build-doc-20250925-r0.apk | 4.8 KiB | 2025-09-28 15:45:37 |
| perl-alien-libgumbo-doc-0.05-r1.apk | 4.8 KiB | 2025-07-01 18:10:43 |
| php84-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-04-11 18:19:11 |
| perl-encode-detect-doc-1.01-r1.apk | 4.8 KiB | 2025-07-01 18:10:43 |
| perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk | 4.8 KiB | 2025-04-20 04:32:44 |
| php82-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-04-11 18:19:11 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-10-25 19:08:15 |
| php85-snappy-0.2.3-r0.apk | 4.8 KiB | 2025-12-25 00:03:47 |
| trippy-zsh-completion-0.13.0-r0.apk | 4.9 KiB | 2025-05-19 08:28:37 |
| perl-catalyst-plugin-session-state-cookie-0.18-r0.apk | 4.9 KiB | 2025-04-20 04:32:44 |
| perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-10-25 19:08:07 |
| perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-11-20 02:43:39 |
| sstp-client-doc-1.0.20-r3.apk | 4.9 KiB | 2025-10-24 02:29:42 |
| py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-10-25 19:08:15 |
| horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-10-25 19:07:24 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-10-25 19:08:07 |
| perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-10-25 19:08:07 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.9 KiB | 2025-05-12 21:20:41 |
| perl-expect-simple-doc-0.04-r0.apk | 4.9 KiB | 2025-04-20 04:38:36 |
| perl-data-censor-0.04-r0.apk | 4.9 KiB | 2026-01-23 00:42:36 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 4.9 KiB | 2025-03-10 22:54:30 |
| rankwidth-0.9-r4.apk | 4.9 KiB | 2025-10-28 02:16:01 |
| py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-10-25 19:08:19 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-10-25 19:08:19 |
| xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-10-25 19:09:09 |
| ckb-next-dev-0.6.2-r1.apk | 4.9 KiB | 2025-09-09 13:17:24 |
| beebzzr-0_git20251214-r0.apk | 4.9 KiB | 2026-01-19 00:22:15 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 4.9 KiB | 2025-05-11 11:57:51 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 5.0 KiB | 2024-10-25 19:08:15 |
| rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-10-25 19:08:24 |
| dfl-sni-dev-0.3.0-r0.apk | 5.0 KiB | 2025-08-21 07:56:20 |
| lomiri-action-api-dev-1.2.1-r0.apk | 5.0 KiB | 2025-10-25 20:19:19 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5.0 KiB | 2024-10-25 19:08:15 |
| git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-10-25 19:07:16 |
| projectsandcastle-loader-0_git20200307-r1.apk | 5.0 KiB | 2024-10-25 19:08:13 |
| py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-10-25 19:08:18 |
| paperde-dev-0.3.0-r2.apk | 5.0 KiB | 2025-08-21 07:56:20 |
| lv_font_conv-doc-1.5.3-r0.apk | 5.0 KiB | 2025-08-19 19:54:04 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 5.0 KiB | 2025-04-04 05:41:08 |
| yaru-gtksourceview-25.10.3-r0.apk | 5.0 KiB | 2026-01-06 05:46:08 |
| perl-data-censor-doc-0.04-r0.apk | 5.0 KiB | 2026-01-23 00:42:36 |
| mangal-bash-completion-4.0.6-r24.apk | 5.0 KiB | 2026-01-19 00:22:25 |
| perl-asa-doc-1.04-r0.apk | 5.0 KiB | 2025-06-11 16:34:50 |
| libdbusaccess-dev-1.0.20-r1.apk | 5.0 KiB | 2025-08-09 00:22:03 |
| base64c-dev-0.2.1-r0.apk | 5.0 KiB | 2024-10-25 19:05:59 |
| py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-10-25 19:08:15 |
| perl-template-tiny-doc-1.16-r0.apk | 5.0 KiB | 2025-07-24 13:42:50 |
| k3sup-bash-completion-0.13.6-r11.apk | 5.0 KiB | 2026-01-19 00:22:22 |
| ko-bash-completion-0.17.1-r11.apk | 5.0 KiB | 2026-01-19 00:22:23 |
| cargo-run-bin-doc-1.7.2-r0.apk | 5.0 KiB | 2024-10-25 19:06:00 |
| mrsh-0_git20210518-r1.apk | 5.0 KiB | 2024-10-25 19:07:51 |
| walk-sor-0_git20190920-r1.apk | 5.0 KiB | 2024-10-25 19:09:07 |
| linux-timemachine-1.3.2-r0.apk | 5.1 KiB | 2024-10-25 19:07:36 |
| cilium-cli-bash-completion-0.16.13-r11.apk | 5.1 KiB | 2026-01-19 00:22:16 |
| py3-pygpgme-pyc-0.3.1-r10.apk | 5.1 KiB | 2026-01-19 00:22:29 |
| perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-10-25 19:09:09 |
| abnfgen-doc-0.21-r0.apk | 5.1 KiB | 2025-05-27 21:41:00 |
| hubble-cli-bash-completion-0.13.6-r11.apk | 5.1 KiB | 2026-01-19 00:22:22 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk | 5.1 KiB | 2025-07-15 20:03:25 |
| perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| sstp-client-dev-1.0.20-r3.apk | 5.1 KiB | 2025-10-24 02:29:42 |
| perl-class-c3-adopt-next-0.14-r0.apk | 5.1 KiB | 2025-03-14 16:31:46 |
| py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-10-25 19:08:15 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| lomiri-thumbnailer-dev-3.1.0-r0.apk | 5.1 KiB | 2025-12-10 17:06:24 |
| wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-10-25 19:09:08 |
| perl-moosex-markasmethods-0.15-r0.apk | 5.1 KiB | 2025-06-04 19:41:58 |
| minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-10-25 19:07:47 |
| virtctl-bash-completion-1.6.2-r2.apk | 5.1 KiB | 2026-01-19 00:22:37 |
| lockrun-1.1.3-r1.apk | 5.1 KiB | 2024-10-25 19:07:37 |
| kubepug-bash-completion-1.7.1-r16.apk | 5.1 KiB | 2026-01-19 00:22:25 |
| perl-url-encode-0.03-r4.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| perl-path-iter-doc-0.2-r3.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| bomctl-bash-completion-0.1.9-r12.apk | 5.1 KiB | 2026-01-19 00:22:15 |
| lua5.2-psl-0.3-r0.apk | 5.1 KiB | 2024-10-25 19:07:38 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.1 KiB | 2024-10-25 19:08:07 |
| py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-10-25 19:08:19 |
| fbdebug-1.0.1-r0.apk | 5.1 KiB | 2025-12-19 21:47:32 |
| py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-10-25 19:08:15 |
| kine-doc-0.10.1-r19.apk | 5.1 KiB | 2026-01-19 00:22:23 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-10-25 19:08:07 |
| perl-net-irr-doc-0.10-r0.apk | 5.2 KiB | 2024-10-25 19:08:07 |
| lua5.3-psl-0.3-r0.apk | 5.2 KiB | 2024-10-25 19:07:38 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 5.2 KiB | 2025-07-29 07:57:05 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 5.2 KiB | 2024-10-25 19:08:15 |
| tre-dev-0.8.0-r2.apk | 5.2 KiB | 2024-10-25 19:08:56 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-10-25 19:08:07 |
| libhwpwm-dev-0.4.4-r0.apk | 5.2 KiB | 2024-10-25 19:07:33 |
| perl-io-interactive-doc-1.027-r0.apk | 5.2 KiB | 2025-09-01 13:16:07 |
| perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-10-25 19:08:07 |
| minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-10-25 19:07:47 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 5.2 KiB | 2025-06-04 19:41:58 |
| memdump-1.01-r1.apk | 5.2 KiB | 2024-10-25 19:07:46 |
| lua5.1-psl-0.3-r0.apk | 5.2 KiB | 2024-10-25 19:07:38 |
| py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-10-25 19:08:15 |
| deadbeef-soxr-20180801-r0.apk | 5.2 KiB | 2024-10-25 19:06:14 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-10-25 19:08:15 |
| perl-lingua-stem-it-0.02-r0.apk | 5.2 KiB | 2025-06-06 14:57:09 |
| logc-libs-dev-0.1.0-r0.apk | 5.2 KiB | 2024-10-25 19:07:37 |
| zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-10-25 19:09:12 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-10-25 19:08:15 |
| perl-snowball-swedish-1.2-r0.apk | 5.2 KiB | 2025-06-08 16:07:13 |
| mobpass-pyc-0.2-r6.apk | 5.2 KiB | 2024-10-25 19:07:49 |
| json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-10-25 19:07:26 |
| tcpbench-doc-3.00-r1.apk | 5.3 KiB | 2025-09-13 01:00:11 |
| perl-snowball-norwegian-1.2-r0.apk | 5.3 KiB | 2025-06-08 16:07:13 |
| git-bug-bash-completion-0.8.1-r7.apk | 5.3 KiB | 2026-01-19 00:22:19 |
| edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-10-25 19:07:06 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5.3 KiB | 2025-02-01 17:06:23 |
| budgie-session-doc-0.9.1-r0.apk | 5.3 KiB | 2025-10-16 17:07:38 |
| syncwhen-0.3-r0.apk | 5.3 KiB | 2025-11-01 17:26:12 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-10-25 19:08:17 |
| acmeleaf-doc-0.2.0-r2.apk | 5.3 KiB | 2026-01-19 00:22:14 |
| xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-10-25 19:09:09 |
| boost1.89-coroutine-1.89.0-r1.apk | 5.3 KiB | 2026-01-19 00:22:15 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-10-25 19:08:07 |
| libb64-dev-2.0.0.1-r0.apk | 5.3 KiB | 2024-10-25 19:07:32 |
| perl-io-interactive-1.027-r0.apk | 5.3 KiB | 2025-09-01 13:16:07 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5.3 KiB | 2025-06-09 12:06:08 |
| py3-jaraco.logging-3.4.0-r0.apk | 5.3 KiB | 2025-06-20 04:10:16 |
| bat-extras-2024.08.24-r0.apk | 5.3 KiB | 2025-10-12 20:47:05 |
| py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-10-25 19:08:15 |
| mlxl-0.1-r0.apk | 5.3 KiB | 2024-10-25 19:07:49 |
| lua-resty-redis-0.29-r0.apk | 5.3 KiB | 2024-10-25 19:07:38 |
| py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-10-25 19:08:15 |
| perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk | 5.3 KiB | 2025-03-16 04:03:23 |
| serialdv-dev-1.1.5-r0.apk | 5.3 KiB | 2025-10-24 20:26:29 |
| py3-iterable-io-pyc-1.0.1-r0.apk | 5.4 KiB | 2026-01-08 13:53:16 |
| libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-10-25 19:07:34 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5.4 KiB | 2025-10-25 20:12:23 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5.4 KiB | 2026-01-19 00:22:27 |
| startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-10-25 19:08:50 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5.4 KiB | 2025-03-20 16:04:27 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5.4 KiB | 2025-07-01 18:10:43 |
| ruby-minitest-server-1.0.9-r0.apk | 5.4 KiB | 2025-11-21 18:02:40 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5.4 KiB | 2025-08-08 16:10:51 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5.4 KiB | 2025-10-12 20:47:05 |
| perl-object-signature-doc-1.08-r0.apk | 5.4 KiB | 2025-04-04 11:56:57 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5.4 KiB | 2025-06-07 02:00:14 |
| py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-10-25 19:08:19 |
| perl-html-gumbo-doc-0.18-r2.apk | 5.4 KiB | 2025-07-01 18:10:43 |
| innernet-zsh-completion-1.6.1-r0.apk | 5.4 KiB | 2024-10-25 19:07:25 |
| perl-term-size-0.211-r5.apk | 5.4 KiB | 2025-07-01 18:10:43 |
| perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk | 5.4 KiB | 2025-03-16 04:03:23 |
| rattler-build-zsh-completion-0.18.0-r0.apk | 5.4 KiB | 2024-10-25 19:08:22 |
| perl-sort-naturally-doc-1.03-r4.apk | 5.4 KiB | 2024-10-25 19:08:07 |
| perl-string-toidentifier-en-0.12-r0.apk | 5.4 KiB | 2025-06-09 12:06:08 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk | 5.4 KiB | 2024-10-25 19:08:18 |
| perl-net-irr-0.10-r0.apk | 5.5 KiB | 2024-10-25 19:08:07 |
| py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-10-25 19:08:18 |
| perl-parse-distname-0.05-r0.apk | 5.5 KiB | 2025-07-15 10:28:49 |
| php82-sysvsem-8.2.30-r2.apk | 5.5 KiB | 2026-01-14 03:13:20 |
| luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-10-25 19:07:38 |
| perl-expect-simple-0.04-r0.apk | 5.5 KiB | 2025-04-20 04:38:36 |
| autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-10-25 19:05:58 |
| php81-sysvsem-8.1.34-r1.apk | 5.5 KiB | 2026-01-14 03:13:20 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.5 KiB | 2025-04-22 21:24:52 |
| perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-10-25 19:08:07 |
| ghq-doc-1.8.0-r7.apk | 5.5 KiB | 2026-01-19 00:22:19 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5.5 KiB | 2025-07-01 18:10:43 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-10-25 19:08:19 |
| tick-doc-1.2.3-r0.apk | 5.5 KiB | 2025-10-08 10:22:44 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-10-25 19:08:19 |
| libglib-testing-dev-0.1.1-r0.apk | 5.5 KiB | 2025-05-11 11:57:48 |
| kubeseal-doc-0.34.0-r0.apk | 5.5 KiB | 2026-01-19 00:22:25 |
| wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-10-25 19:09:08 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-10-25 19:07:12 |
| perl-template-tiny-1.16-r0.apk | 5.5 KiB | 2025-07-24 13:42:50 |
| perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-10-25 19:08:07 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-10-25 19:08:13 |
| upterm-bash-completion-0.17.0-r2.apk | 5.6 KiB | 2026-01-19 00:22:35 |
| py3-rst-0.1-r9.apk | 5.6 KiB | 2024-10-25 19:08:19 |
| neard-doc-0.19-r1.apk | 5.6 KiB | 2026-01-19 00:22:26 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.6 KiB | 2025-05-12 21:20:41 |
| qperf-doc-0.4.11-r2.apk | 5.6 KiB | 2025-05-12 21:20:41 |
| hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-10-25 19:07:24 |
| py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-10-25 19:08:15 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5.6 KiB | 2025-09-01 13:16:07 |
| perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-11-20 02:43:39 |
| py3-flask-accept-0.0.7-r0.apk | 5.6 KiB | 2025-07-15 10:28:49 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5.6 KiB | 2025-10-12 20:47:05 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5.6 KiB | 2025-06-09 12:06:08 |
| kompose-bash-completion-1.31.2-r16.apk | 5.6 KiB | 2026-01-19 00:22:23 |
| ubus-dev-2025.10.17-r0.apk | 5.6 KiB | 2025-10-25 12:57:28 |
| fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-10-25 19:07:15 |
| php81-gettext-8.1.34-r1.apk | 5.6 KiB | 2026-01-14 03:13:20 |
| bgs-0.8-r1.apk | 5.6 KiB | 2024-10-25 19:05:59 |
| py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-10-25 19:08:15 |
| perl-data-section-doc-0.200008-r0.apk | 5.6 KiB | 2025-07-07 17:02:49 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5.6 KiB | 2025-08-09 00:22:05 |
| php82-gettext-8.2.30-r2.apk | 5.6 KiB | 2026-01-14 03:13:20 |
| herbe-1.0.0-r0.apk | 5.6 KiB | 2024-10-25 19:07:24 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.6 KiB | 2024-10-25 19:08:07 |
| perl-algorithm-c3-0.11-r1.apk | 5.6 KiB | 2024-10-25 19:08:07 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-10-25 19:08:15 |
| perl-aliased-0.34-r4.apk | 5.6 KiB | 2024-10-25 19:08:07 |
| harminv-doc-1.4.2-r1.apk | 5.6 KiB | 2024-10-25 19:07:22 |
| perl-aliased-doc-0.34-r4.apk | 5.6 KiB | 2024-10-25 19:08:07 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-10-25 19:08:19 |
| budgie-desktop-doc-10.9.2-r0.apk | 5.7 KiB | 2025-10-16 17:07:38 |
| macchina-doc-6.4.0-r0.apk | 5.7 KiB | 2025-07-24 13:42:50 |
| libdng-utils-0.2.1-r0.apk | 5.7 KiB | 2024-12-27 22:09:47 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-10-25 19:08:19 |
| symlinks-1.4.3-r0.apk | 5.7 KiB | 2025-04-22 21:24:52 |
| materia-chromium-20210322-r4.apk | 5.7 KiB | 2026-01-20 04:56:24 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-10-25 19:08:15 |
| imapgoose-doc-0.4.1-r2.apk | 5.7 KiB | 2026-01-19 00:22:22 |
| materia-compact-chromium-20210322-r4.apk | 5.7 KiB | 2026-01-20 04:56:24 |
| turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-10-25 19:09:04 |
| py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-10-25 19:08:19 |
| perl-freezethaw-doc-0.5001-r3.apk | 5.7 KiB | 2025-10-18 10:32:37 |
| libvalkey-tls-0.2.1-r0.apk | 5.7 KiB | 2025-11-17 15:33:23 |
| materia-dark-chromium-20210322-r4.apk | 5.7 KiB | 2026-01-20 04:56:24 |
| materia-dark-compact-chromium-20210322-r4.apk | 5.7 KiB | 2026-01-20 04:56:24 |
| libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-10-25 19:07:35 |
| pfetch-doc-1.9.4-r0.apk | 5.7 KiB | 2025-10-22 14:01:34 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-10-25 19:08:19 |
| perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-10-25 19:08:07 |
| termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-10-25 19:08:54 |
| dfu-programmer-doc-1.1.0-r0.apk | 5.7 KiB | 2024-10-25 19:06:14 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5.7 KiB | 2025-10-12 13:43:59 |
| py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-10-25 19:08:18 |
| perl-io-sessiondata-1.03-r3.apk | 5.7 KiB | 2024-10-25 19:08:07 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.7 KiB | 2025-05-11 11:57:51 |
| perl-shell-guess-doc-0.10-r0.apk | 5.8 KiB | 2025-06-07 14:55:10 |
| gsimplecal-doc-2.5.2-r0.apk | 5.8 KiB | 2025-10-16 18:48:08 |
| libhwpwm-0.4.4-r0.apk | 5.8 KiB | 2024-10-25 19:07:33 |
| perl-module-path-doc-0.19-r0.apk | 5.8 KiB | 2025-06-08 16:07:13 |
| py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-10-25 19:08:19 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-10-25 19:08:15 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-10-25 19:08:19 |
| py3-himitsu-0.0.9-r0.apk | 5.8 KiB | 2025-08-25 10:57:34 |
| aggregate6-pyc-1.0.14-r0.apk | 5.8 KiB | 2025-10-14 11:05:28 |
| php82-shmop-8.2.30-r2.apk | 5.8 KiB | 2026-01-14 03:13:20 |
| neocmakelsp-doc-0.9.1-r0.apk | 5.8 KiB | 2026-01-10 07:00:34 |
| stern-bash-completion-1.33.0-r2.apk | 5.8 KiB | 2026-01-19 00:22:32 |
| startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-10-25 19:08:50 |
| perl-data-validate-ip-doc-0.31-r1.apk | 5.8 KiB | 2024-10-25 19:08:07 |
| py3-grequests-pyc-0.7.0-r3.apk | 5.8 KiB | 2025-05-14 18:17:54 |
| php81-shmop-8.1.34-r1.apk | 5.8 KiB | 2026-01-14 03:13:20 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5.8 KiB | 2025-10-12 20:47:05 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 5.9 KiB | 2024-10-25 19:06:06 |
| perl-carp-repl-doc-0.18-r0.apk | 5.9 KiB | 2025-05-11 11:57:51 |
| perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2026-01-19 00:22:27 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 5.9 KiB | 2025-10-12 13:43:59 |
| dbus-broker-doc-37-r0.apk | 5.9 KiB | 2025-06-20 04:10:08 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-10-25 19:08:13 |
| restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-10-25 19:08:23 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0.apk | 5.9 KiB | 2025-06-13 18:17:49 |
| raspi2png-0.0.20190727-r0.apk | 5.9 KiB | 2024-10-25 19:08:22 |
| py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-10-25 19:08:18 |
| xmoto-doc-0.6.3-r0.apk | 5.9 KiB | 2025-10-03 17:02:33 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 5.9 KiB | 2025-05-11 11:57:51 |
| py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-10-25 19:08:15 |
| river-shifttags-0.2.1-r1.apk | 5.9 KiB | 2025-05-12 21:20:42 |
| py3-ticket-auth-0.1.4-r9.apk | 6.0 KiB | 2024-10-25 19:08:19 |
| perl-carp-repl-0.18-r0.apk | 6.0 KiB | 2025-05-11 11:57:51 |
| tayga-doc-0.9.6-r0.apk | 6.0 KiB | 2026-01-04 14:16:02 |
| libnfcdef-dev-1.1.0-r0.apk | 6.0 KiB | 2026-01-02 17:15:42 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 6.0 KiB | 2024-10-25 19:08:15 |
| nim-sha2-0.1.1-r0.apk | 6.0 KiB | 2026-01-24 13:35:19 |
| perl-shell-guess-0.10-r0.apk | 6.0 KiB | 2025-06-07 14:55:10 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 6.0 KiB | 2025-04-22 21:24:52 |
| py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-10-25 19:08:18 |
| flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-11-03 21:06:33 |
| xload-1.1.4-r0.apk | 6.0 KiB | 2024-10-25 19:09:09 |
| py3-iterable-io-1.0.1-r0.apk | 6.0 KiB | 2026-01-08 13:53:16 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-10-25 19:08:19 |
| hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-10-25 19:07:23 |
| dislocker-doc-0.7.3-r6.apk | 6.0 KiB | 2025-07-24 13:42:48 |
| mint-x-theme-metacity-2.3.7-r1.apk | 6.0 KiB | 2026-01-24 14:18:44 |
| leptosfmt-doc-0.1.33-r0.apk | 6.0 KiB | 2025-03-25 15:39:33 |
| perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-10-25 19:08:07 |
| py3-class-doc-1.25-r1.apk | 6.1 KiB | 2024-10-25 19:08:15 |
| perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-10-25 19:08:07 |
| py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-10-25 19:08:19 |
| py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-10-25 19:08:19 |
| wgcf-bash-completion-2.2.29-r2.apk | 6.1 KiB | 2026-01-19 00:22:38 |
| semaphoreui-bash-completion-2.16.37-r2.apk | 6.1 KiB | 2026-01-19 00:22:31 |
| glow-bash-completion-2.1.1-r6.apk | 6.1 KiB | 2026-01-19 00:22:19 |
| tenv-bash-completion-4.9.0-r2.apk | 6.1 KiB | 2026-01-19 00:22:33 |
| regal-bash-completion-0.36.1-r2.apk | 6.1 KiB | 2026-01-19 00:22:29 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-10-25 19:08:15 |
| kraftkit-bash-completion-0.12.3-r2.apk | 6.1 KiB | 2026-01-19 00:22:24 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-10-25 19:08:19 |
| virter-bash-completion-0.29.0-r6.apk | 6.1 KiB | 2026-01-19 00:22:37 |
| helmfile-bash-completion-1.1.8-r2.apk | 6.1 KiB | 2026-01-19 00:22:21 |
| py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-10-25 19:08:19 |
| py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-10-25 19:08:15 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 6.1 KiB | 2025-06-20 04:10:16 |
| virtualgl-dev-3.1.4-r0.apk | 6.1 KiB | 2025-10-09 00:17:41 |
| ticker-bash-completion-5.0.7-r8.apk | 6.1 KiB | 2026-01-19 00:22:33 |
| curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-10-25 19:06:06 |
| isoinfo-0_git20131217-r1.apk | 6.1 KiB | 2024-10-25 19:07:25 |
| perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-10-25 19:08:07 |
| perl-lingua-stem-fr-0.02-r0.apk | 6.1 KiB | 2025-06-06 14:57:09 |
| finger-0.5-r0.apk | 6.1 KiB | 2024-10-25 19:07:12 |
| perl-cgi-expand-doc-2.05-r4.apk | 6.1 KiB | 2024-10-25 19:08:07 |
| remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-10-25 19:08:23 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-12-12 06:32:04 |
| py3-doi-0.2-r0.apk | 6.2 KiB | 2025-04-12 12:23:08 |
| perl-devel-leak-0.03-r14.apk | 6.2 KiB | 2025-07-01 18:10:43 |
| php82-pecl-uuid-1.3.0-r0.apk | 6.2 KiB | 2025-10-24 02:07:04 |
| php81-pecl-uuid-1.3.0-r0.apk | 6.2 KiB | 2025-05-12 23:18:06 |
| swhkd-doc-1.2.1-r0.apk | 6.2 KiB | 2024-10-25 19:08:52 |
| neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-11-07 05:39:48 |
| json2tsv-1.2-r0.apk | 6.2 KiB | 2024-10-25 19:07:26 |
| serialdv-1.1.5-r0.apk | 6.2 KiB | 2025-10-24 20:26:29 |
| boost1.89-nowide-1.89.0-r1.apk | 6.2 KiB | 2026-01-19 00:22:15 |
| perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-10-25 19:08:07 |
| xcape-1.2-r1.apk | 6.2 KiB | 2025-05-14 18:17:54 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.2 KiB | 2025-04-20 04:32:44 |
| perl-memoize-expirelru-0.56-r0.apk | 6.2 KiB | 2025-06-08 16:07:13 |
| py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-10-25 19:08:15 |
| git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-11-25 23:38:25 |
| py3-dbus-fast-doc-3.1.2-r0.apk | 6.2 KiB | 2025-11-23 21:15:40 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 6.2 KiB | 2024-10-25 19:06:06 |
| py3-spinners-pyc-0.0.24-r5.apk | 6.3 KiB | 2024-10-25 19:08:19 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.3 KiB | 2024-10-25 19:08:15 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6.3 KiB | 2024-10-25 19:08:15 |
| amiitool-2-r2.apk | 6.3 KiB | 2024-10-25 19:05:54 |
| boost1.89-atomic-1.89.0-r1.apk | 6.3 KiB | 2026-01-19 00:22:15 |
| perl-net-patricia-doc-1.24-r0.apk | 6.3 KiB | 2025-11-20 22:09:06 |
| py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-10-25 19:08:18 |
| openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-12-11 21:31:54 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6.3 KiB | 2025-09-29 06:12:46 |
| n30f-2.0-r3.apk | 6.3 KiB | 2024-10-25 19:07:52 |
| schismtracker-doc-20251014-r0.apk | 6.3 KiB | 2025-10-24 23:50:53 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6.3 KiB | 2024-10-25 19:08:07 |
| bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-10-25 19:05:59 |
| py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-10-25 19:08:15 |
| php82-pecl-lzf-1.7.0-r0.apk | 6.4 KiB | 2025-10-24 02:07:04 |
| php81-sysvshm-8.1.34-r1.apk | 6.4 KiB | 2026-01-14 03:13:20 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-10-25 19:08:07 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6.4 KiB | 2024-10-25 19:08:17 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-10-25 19:08:19 |
| ruby-minitest-focus-1.4.1-r0.apk | 6.4 KiB | 2026-01-04 00:58:24 |
| perl-test-kwalitee-1.28-r0.apk | 6.4 KiB | 2025-07-15 10:28:49 |
| php82-sysvshm-8.2.30-r2.apk | 6.4 KiB | 2026-01-14 03:13:20 |
| rss-email-doc-0.5.1-r0.apk | 6.4 KiB | 2025-08-10 11:21:15 |
| libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-10-25 19:07:32 |
| php81-pecl-lzf-1.7.0-r0.apk | 6.4 KiB | 2024-10-25 19:08:08 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6.4 KiB | 2025-07-15 10:28:49 |
| perl-data-section-0.200008-r0.apk | 6.4 KiB | 2025-07-07 17:02:49 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk | 6.4 KiB | 2025-06-13 18:17:49 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6.5 KiB | 2025-10-08 10:22:39 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6.5 KiB | 2025-05-15 21:22:45 |
| repgrep-doc-0.15.0-r0.apk | 6.5 KiB | 2024-10-25 19:08:23 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6.5 KiB | 2024-10-25 19:06:03 |
| lsix-1.8.2-r0.apk | 6.5 KiB | 2024-10-25 19:07:38 |
| cpiped-0.1.0-r0.apk | 6.5 KiB | 2024-10-25 19:06:05 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6.5 KiB | 2025-04-13 14:57:02 |
| ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-10-25 19:08:05 |
| lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-10-25 19:07:37 |
| imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-10-25 19:07:25 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6.5 KiB | 2025-10-24 02:07:04 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6.5 KiB | 2025-02-22 12:17:59 |
| py3-uc-micro-py-1.0.3-r0.apk | 6.5 KiB | 2025-10-27 08:30:46 |
| cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-10-25 19:06:06 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 6.6 KiB | 2024-10-25 19:08:08 |
| watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-10-25 19:09:07 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6.6 KiB | 2025-07-01 18:10:43 |
| rocm-core-dev-6.4.3-r0.apk | 6.6 KiB | 2025-08-18 11:32:15 |
| dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-10-25 19:06:14 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-10-25 19:08:19 |
| emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-10-25 19:07:07 |
| apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-10-25 19:05:54 |
| lspmux-doc-0.3.0-r0.apk | 6.6 KiB | 2025-11-05 14:23:51 |
| py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-10-25 19:08:19 |
| libdiscid-dev-0.6.5-r0.apk | 6.6 KiB | 2026-01-09 23:20:39 |
| qtmir-dev-0.7.2_git20250407-r5.apk | 6.6 KiB | 2025-12-18 14:17:18 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-10-25 19:08:19 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6.7 KiB | 2025-04-08 07:43:38 |
| debconf-utils-1.5.82-r0.apk | 6.7 KiB | 2024-10-25 19:06:14 |
| extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-10-25 19:07:11 |
| saait-0.8-r0.apk | 6.7 KiB | 2024-10-25 19:08:25 |
| ruby-path_expander-2.0.1-r0.apk | 6.7 KiB | 2026-01-10 05:04:09 |
| py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-12-14 22:50:02 |
| mm-1.4.2-r1.apk | 6.7 KiB | 2024-10-25 19:07:49 |
| amber-mpris-dev-1.2.9-r0.apk | 6.7 KiB | 2024-12-22 17:00:02 |
| p910nd-0.97-r2.apk | 6.7 KiB | 2024-10-25 19:08:06 |
| py3-grequests-0.7.0-r3.apk | 6.7 KiB | 2025-05-14 18:17:54 |
| py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-10-25 19:08:15 |
| rattler-build-doc-0.18.0-r0.apk | 6.7 KiB | 2024-10-25 19:08:22 |
| zarchive-dev-0.1.2-r2.apk | 6.7 KiB | 2024-10-25 19:09:11 |
| perl-catalyst-authentication-credential-http-doc-1.018-r0.apk | 6.8 KiB | 2025-04-04 05:39:24 |
| py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.8 KiB | 2024-10-25 19:08:18 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-10-25 19:08:19 |
| cliquer-1.23-r0.apk | 6.8 KiB | 2025-08-12 04:15:21 |
| perl-cgi-struct-doc-1.21-r0.apk | 6.8 KiB | 2025-03-14 16:31:46 |
| kompose-zsh-completion-1.31.2-r16.apk | 6.8 KiB | 2026-01-19 00:22:23 |
| logc-0.5.0-r1.apk | 6.8 KiB | 2025-06-13 14:11:53 |
| perl-test-file-doc-1.995-r0.apk | 6.8 KiB | 2025-04-19 17:55:03 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6.8 KiB | 2025-10-13 15:50:32 |
| jgmenu-xfce4-4.5.0-r0.apk | 6.8 KiB | 2026-01-10 18:07:10 |
| pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-10-25 19:08:14 |
| perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-10-25 19:08:07 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6.8 KiB | 2025-06-08 16:07:13 |
| termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-10-25 19:08:54 |
| qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-10-25 19:08:21 |
| perl-test-perl-critic-1.04-r0.apk | 6.8 KiB | 2025-07-15 10:28:49 |
| perl-cgi-expand-2.05-r4.apk | 6.8 KiB | 2024-10-25 19:08:07 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 6.9 KiB | 2024-10-25 19:05:54 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk | 6.9 KiB | 2024-10-25 19:08:13 |
| py3-playsound-1.3.0-r1.apk | 6.9 KiB | 2024-10-25 19:08:18 |
| pixi-doc-0.24.2-r0.apk | 6.9 KiB | 2024-10-25 19:08:11 |
| py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-10-25 19:08:19 |
| py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-10-25 19:08:15 |
| halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-10-25 19:07:22 |
| perl-test-kwalitee-doc-1.28-r0.apk | 6.9 KiB | 2025-07-15 10:28:49 |
| dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-10-25 19:07:06 |
| tui-journal-doc-0.10.0-r0.apk | 6.9 KiB | 2024-10-25 19:09:04 |
| game-devices-udev-0.25-r0.apk | 6.9 KiB | 2025-10-23 05:06:28 |
| perl-crypt-saltedhash-0.09-r5.apk | 6.9 KiB | 2024-10-25 19:08:07 |
| perl-constant-defer-doc-6-r5.apk | 6.9 KiB | 2024-10-25 19:08:07 |
| stw-0.3-r0.apk | 6.9 KiB | 2024-10-25 19:08:52 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-11-20 02:43:38 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 7.0 KiB | 2024-10-25 19:08:18 |
| libjodycode-3.1.1-r0.apk | 7.0 KiB | 2024-10-25 19:07:33 |
| py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-10-25 19:08:18 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-10-25 19:08:15 |
| nkk-doc-0_git20221010-r0.apk | 7.0 KiB | 2024-10-25 19:07:54 |
| py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-10-25 19:08:18 |
| libmysofa-dev-1.3.2-r0.apk | 7.0 KiB | 2024-10-25 19:07:34 |
| bchunk-1.2.2-r3.apk | 7.0 KiB | 2024-10-25 19:05:59 |
| tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-10-25 19:08:55 |
| enlighten-0.9.2-r1.apk | 7.0 KiB | 2024-10-25 19:07:10 |
| oils-for-unix-doc-0.35.0-r0.apk | 7.0 KiB | 2025-09-28 22:29:30 |
| perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-10-25 19:08:07 |
| bat-extras-batpipe-2024.08.24-r0.apk | 7.0 KiB | 2025-10-12 20:47:05 |
| upterm-doc-0.17.0-r2.apk | 7.1 KiB | 2026-01-19 00:22:35 |
| spacectl-fish-completion-1.12.0-r7.apk | 7.1 KiB | 2026-01-19 00:22:31 |
| py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-10-25 19:08:15 |
| py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-10-25 19:08:18 |
| py3-pytest-datadir-1.8.0-r0.apk | 7.1 KiB | 2025-08-08 16:10:51 |
| adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-10-25 19:05:52 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7.1 KiB | 2025-02-09 15:13:51 |
| arc-xfwm-20221218-r1.apk | 7.1 KiB | 2026-01-21 19:05:21 |
| perl-full-1.004-r0.apk | 7.1 KiB | 2024-10-25 19:08:07 |
| boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-10-25 19:06:00 |
| arc-lighter-xfwm-20221218-r1.apk | 7.1 KiB | 2026-01-21 19:05:21 |
| harminv-1.4.2-r1.apk | 7.1 KiB | 2024-10-25 19:07:22 |
| php81-sysvmsg-8.1.34-r1.apk | 7.1 KiB | 2026-01-14 03:13:20 |
| moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-10-25 19:07:51 |
| py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-10-25 19:08:15 |
| pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-10-25 19:08:11 |
| libandroidfw-dev-0_git20251009-r1.apk | 7.1 KiB | 2026-01-14 03:13:18 |
| pixi-bash-completion-0.24.2-r0.apk | 7.2 KiB | 2024-10-25 19:08:11 |
| py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-10-25 19:08:19 |
| litterbox-doc-1.9-r2.apk | 7.2 KiB | 2025-09-13 01:00:11 |
| reredirect-0.3-r0.apk | 7.2 KiB | 2024-10-25 19:08:23 |
| py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-10-25 19:08:15 |
| pptpclient-doc-1.10.0-r6.apk | 7.2 KiB | 2025-07-01 18:10:43 |
| sydbox-vim-3.45.2-r0.apk | 7.2 KiB | 2025-12-03 02:58:35 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-10-25 19:08:13 |
| arc-darker-xfwm-20221218-r1.apk | 7.2 KiB | 2026-01-21 19:05:21 |
| arc-dark-xfwm-20221218-r1.apk | 7.2 KiB | 2026-01-21 19:05:21 |
| py3-prctl-pyc-1.8.1-r0.apk | 7.2 KiB | 2025-10-20 13:57:35 |
| perl-log-fu-doc-0.31-r4.apk | 7.2 KiB | 2024-10-25 19:08:07 |
| ovos-phal-pyc-0.2.10-r0.apk | 7.2 KiB | 2025-07-15 19:29:58 |
| libfishsound-1.0.0-r1.apk | 7.2 KiB | 2024-10-25 19:07:33 |
| bkt-doc-0.8.0-r0.apk | 7.3 KiB | 2024-10-25 19:05:59 |
| aggregate6-1.0.14-r0.apk | 7.3 KiB | 2025-10-14 11:05:28 |
| advancescan-doc-1.18-r1.apk | 7.3 KiB | 2024-10-25 19:05:53 |
| cdba-1.0-r2.apk | 7.3 KiB | 2024-10-25 19:06:00 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 7.3 KiB | 2024-10-25 19:07:46 |
| keystone-dev-0.9.2-r6.apk | 7.3 KiB | 2024-10-25 19:07:29 |
| py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-10-25 19:08:15 |
| msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2024-10-25 19:07:51 |
| perl-openapi-client-doc-1.07-r0.apk | 7.3 KiB | 2024-10-25 19:08:07 |
| jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-10-25 19:07:26 |
| microsocks-1.0.5-r0.apk | 7.3 KiB | 2025-12-21 23:53:12 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-10-25 19:08:19 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7.4 KiB | 2025-10-12 20:47:05 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.4 KiB | 2025-04-20 04:32:44 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7.4 KiB | 2025-06-20 04:10:15 |
| py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-10-25 19:08:18 |
| perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-10-25 19:08:07 |
| py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-10-25 19:08:18 |
| py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-10-25 19:08:18 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.4 KiB | 2025-03-20 16:04:27 |
| php82-sysvmsg-8.2.30-r2.apk | 7.4 KiB | 2026-01-14 03:13:20 |
| boost1.89-timer-1.89.0-r1.apk | 7.4 KiB | 2026-01-19 00:22:15 |
| py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-10-25 19:08:18 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-10-25 19:08:07 |
| py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-10-25 19:08:15 |
| cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-10-25 19:06:06 |
| cargo-udeps-doc-0.1.60-r0.apk | 7.5 KiB | 2026-01-09 00:35:55 |
| py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-10-25 19:08:19 |
| py3-lsp-black-2.0.0-r1.apk | 7.5 KiB | 2024-10-25 19:08:17 |
| cliquer-dev-1.23-r0.apk | 7.5 KiB | 2025-08-12 04:15:21 |
| perl-lexical-persistence-1.023-r0.apk | 7.5 KiB | 2025-05-11 11:57:51 |
| wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-10-25 19:09:07 |
| rocm-core-6.4.3-r0.apk | 7.5 KiB | 2025-08-18 11:32:15 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-10-25 19:08:07 |
| aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-10-25 19:05:55 |
| perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-10-25 19:08:07 |
| perl-web-scraper-0.38-r0.apk | 7.5 KiB | 2025-07-15 10:28:49 |
| ffms2-dev-5.0-r2.apk | 7.5 KiB | 2025-09-27 13:40:39 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-10-25 19:08:19 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7.5 KiB | 2025-08-25 10:57:34 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk | 7.6 KiB | 2024-10-25 19:08:16 |
| py3-wg-netns-2.3.1-r1.apk | 7.6 KiB | 2024-10-25 19:08:19 |
| py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-10-25 19:08:15 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7.6 KiB | 2025-05-11 11:57:51 |
| py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-10-25 19:08:18 |
| dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-10-25 19:07:06 |
| libcotp-3.1.1-r0.apk | 7.6 KiB | 2025-10-04 06:02:23 |
| gmic-dev-3.6.0-r2.apk | 7.6 KiB | 2026-01-03 16:23:02 |
| py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-10-25 19:08:15 |
| imrsh-0_git20210320-r1.apk | 7.6 KiB | 2024-10-25 19:07:25 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-10-25 19:08:19 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-10-25 19:08:19 |
| perl-syntax-operator-equ-0.10-r1.apk | 7.6 KiB | 2025-07-01 18:10:43 |
| perl-email-abstract-3.010-r0.apk | 7.6 KiB | 2024-10-25 19:08:07 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-10-25 19:08:19 |
| py3-openapi-codec-1.3.2-r9.apk | 7.7 KiB | 2024-10-25 19:08:18 |
| opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-10-25 19:08:04 |
| geomyidae-doc-0.34-r2.apk | 7.7 KiB | 2024-10-25 19:07:16 |
| linux-gpib-dev-4.3.7-r0.apk | 7.7 KiB | 2025-12-06 23:07:14 |
| cargo-geiger-doc-0.13.0-r0.apk | 7.7 KiB | 2025-10-10 17:33:30 |
| mat2-doc-0.13.5-r0.apk | 7.7 KiB | 2025-09-19 14:53:45 |
| queercat-1.0.0-r0.apk | 7.7 KiB | 2024-10-25 19:08:21 |
| tuios-doc-0.5.1-r0.apk | 7.7 KiB | 2026-01-24 10:18:51 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7.7 KiB | 2025-03-15 14:08:39 |
| py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-10-25 19:08:19 |
| perl-test-distribution-2.00-r1.apk | 7.7 KiB | 2024-10-25 19:08:07 |
| libretro-gong-0_git20220319-r0.apk | 7.8 KiB | 2024-10-25 19:07:34 |
| php82-pspell-8.2.30-r2.apk | 7.8 KiB | 2026-01-14 03:13:20 |
| php81-pecl-maxminddb-1.13.0-r0.apk | 7.8 KiB | 2025-11-21 00:42:35 |
| walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-10-25 19:09:07 |
| pam_sqlite3-1.0.2-r2.apk | 7.8 KiB | 2024-10-25 19:08:06 |
| php81-pspell-8.1.34-r1.apk | 7.8 KiB | 2026-01-14 03:13:20 |
| php82-pecl-maxminddb-1.13.0-r0.apk | 7.8 KiB | 2025-11-21 00:42:35 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7.8 KiB | 2025-04-13 14:57:02 |
| py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-10-25 19:08:15 |
| tty-clock-2.3_git20240104-r0.apk | 7.8 KiB | 2024-10-25 19:09:04 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7.8 KiB | 2025-07-10 02:28:25 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-10-25 19:07:55 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-10-25 19:08:19 |
| pinentry-bemenu-0.14.0-r1.apk | 7.8 KiB | 2025-07-06 00:15:13 |
| libirecovery-progs-1.3.0-r0.apk | 7.8 KiB | 2025-10-08 10:22:43 |
| runst-doc-0.2.0-r0.apk | 7.8 KiB | 2025-11-17 15:33:27 |
| moosefs-cgiserv-4.56.6-r2.apk | 7.8 KiB | 2025-06-20 04:10:15 |
| perl-string-escape-doc-2010.002-r0.apk | 7.8 KiB | 2025-03-29 18:40:19 |
| perl-cgi-struct-1.21-r0.apk | 7.8 KiB | 2025-03-14 16:31:46 |
| agrep-0.8.0-r2.apk | 7.8 KiB | 2024-10-25 19:05:53 |
| py3-flask-httpauth-4.8.0-r3.apk | 7.8 KiB | 2025-11-29 11:38:03 |
| perl-shell-config-generate-0.34-r0.apk | 7.8 KiB | 2025-06-08 16:07:13 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 7.8 KiB | 2025-07-01 18:10:43 |
| lomiri-libusermetrics-dev-1.4.0-r0.apk | 7.8 KiB | 2025-12-10 17:06:24 |
| fxload-2008.10.13-r0.apk | 7.9 KiB | 2025-12-06 23:07:14 |
| py3-click-threading-pyc-0.5.0-r5.apk | 7.9 KiB | 2024-10-25 19:08:15 |
| py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-10-25 19:08:19 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-10-25 19:08:19 |
| jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-10-25 19:07:26 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-12-07 21:51:32 |
| mint-x-icons-doc-1.7.5-r0.apk | 7.9 KiB | 2025-12-01 06:04:17 |
| care-doc-2.3.0-r1.apk | 7.9 KiB | 2024-10-25 19:06:00 |
| py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-10-25 19:08:15 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-10-25 19:08:18 |
| fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-10-25 19:07:15 |
| pure-doc-1.23.0-r0.apk | 7.9 KiB | 2025-10-20 13:57:35 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-10-25 19:08:15 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-10-25 19:08:19 |
| ssh-honeypot-0.1.1-r1.apk | 7.9 KiB | 2024-10-25 19:08:49 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 7.9 KiB | 2025-07-29 07:57:05 |
| py3-maidenhead-1.8.0-r0.apk | 7.9 KiB | 2025-08-10 21:05:06 |
| py3-clickclick-20.10.2-r4.apk | 8.0 KiB | 2024-10-25 19:08:15 |
| t2sz-1.1.2-r0.apk | 8.0 KiB | 2024-10-25 19:08:52 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 8.0 KiB | 2025-08-10 21:05:06 |
| timoni-bash-completion-0.23.0-r11.apk | 8.0 KiB | 2026-01-19 00:22:34 |
| boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-10-25 19:05:59 |
| hyperrogue-doc-13.1i-r0.apk | 8.0 KiB | 2025-12-19 03:52:23 |
| perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-10-25 19:08:07 |
| code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-12-12 19:34:35 |
| sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-10-25 19:08:46 |
| rsstail-2.2-r0.apk | 8.0 KiB | 2025-02-13 07:17:33 |
| py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-10-25 19:08:19 |
| pamtester-0.1.2-r4.apk | 8.0 KiB | 2024-10-25 19:08:06 |
| nfoview-doc-2.1-r0.apk | 8.0 KiB | 2025-04-13 10:41:39 |
| perl-dbix-introspector-0.001005-r4.apk | 8.0 KiB | 2024-10-25 19:08:07 |
| php82-enchant-8.2.30-r2.apk | 8.0 KiB | 2026-01-14 03:13:20 |
| libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-10-25 19:07:32 |
| vmtouch-doc-1.3.1-r0.apk | 8.0 KiB | 2024-10-25 19:09:06 |
| hiprompt-gtk-py-0.8.0-r1.apk | 8.0 KiB | 2025-09-19 14:53:44 |
| php81-enchant-8.1.34-r1.apk | 8.0 KiB | 2026-01-14 03:13:20 |
| petitboot-doc-1.15-r1.apk | 8.1 KiB | 2026-01-19 00:22:27 |
| py3-ly-doc-0.9.9-r0.apk | 8.1 KiB | 2025-07-15 10:28:49 |
| py3-python-jwt-4.1.0-r2.apk | 8.1 KiB | 2025-05-15 21:22:45 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8.1 KiB | 2024-10-25 19:08:18 |
| perl-web-scraper-doc-0.38-r0.apk | 8.1 KiB | 2025-07-15 10:28:49 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-12-14 22:50:02 |
| libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-10-25 19:07:32 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-10-25 19:08:19 |
| perl-netaddr-mac-doc-0.99-r0.apk | 8.1 KiB | 2025-12-21 07:46:48 |
| libtsm-dev-4.3.0-r0.apk | 8.1 KiB | 2026-01-09 00:35:55 |
| flowd-dev-0.9.1-r11.apk | 8.1 KiB | 2025-07-01 18:10:43 |
| ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-10-25 19:09:04 |
| exabgp-doc-4.2.24-r1.apk | 8.1 KiB | 2025-09-09 13:17:26 |
| sxcs-1.1.0-r0.apk | 8.1 KiB | 2024-10-25 19:08:52 |
| libmhash-doc-0.9.9.9-r3.apk | 8.1 KiB | 2024-10-25 19:07:34 |
| rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-10-25 19:08:24 |
| wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-10-25 19:09:08 |
| nsnake-3.0.0-r0.apk | 8.2 KiB | 2024-10-25 19:07:55 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8.2 KiB | 2025-07-15 20:03:25 |
| perl-moosex-nonmoose-0.27-r1.apk | 8.2 KiB | 2025-06-20 04:10:15 |
| py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-10-25 19:08:15 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2024-10-25 19:08:18 |
| icesprog-0_git20240108-r1.apk | 8.2 KiB | 2024-10-25 19:07:25 |
| py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-10-25 19:08:18 |
| perl-daemon-control-doc-0.001010-r2.apk | 8.2 KiB | 2024-10-25 19:08:07 |
| noice-0.8-r1.apk | 8.2 KiB | 2024-10-25 19:07:54 |
| bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-10-25 19:06:00 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-10-25 19:08:18 |
| pokoy-0.2.5-r0.apk | 8.2 KiB | 2024-10-25 19:08:11 |
| wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-10-25 19:09:08 |
| disfetch-3.7-r0.apk | 8.3 KiB | 2024-10-25 19:06:15 |
| ruby-dry-inflector-1.3.1-r0.apk | 8.3 KiB | 2026-01-19 00:22:30 |
| perl-data-visitor-doc-0.32-r0.apk | 8.3 KiB | 2025-03-19 14:53:02 |
| perl-net-async-redis-xs-1.001-r2.apk | 8.3 KiB | 2025-07-01 18:10:43 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-10-25 19:08:18 |
| libuecc-7-r4.apk | 8.3 KiB | 2025-03-03 16:22:14 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-10-25 19:08:15 |
| capsudo-doc-0.1.2-r0.apk | 8.4 KiB | 2026-01-24 20:40:58 |
| serie-doc-0.5.7-r0.apk | 8.4 KiB | 2026-01-21 19:05:22 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-10-25 19:08:55 |
| btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-10-25 19:06:00 |
| py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-10-25 19:08:15 |
| limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-10-25 19:07:36 |
| py3-zope-i18nmessageid-pyc-8.2-r0.apk | 8.4 KiB | 2025-12-25 12:29:39 |
| py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-10-25 19:08:15 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-10-25 19:08:18 |
| libsds-2.0.0-r1.apk | 8.4 KiB | 2024-10-25 19:07:35 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8.4 KiB | 2024-10-25 19:08:07 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.4 KiB | 2024-10-25 19:08:07 |
| lgogdownloader-doc-3.18-r0.apk | 8.5 KiB | 2025-12-31 21:54:02 |
| flatseal-doc-2.3.1-r0.apk | 8.5 KiB | 2025-06-20 04:10:08 |
| foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-10-25 19:07:12 |
| perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-10-25 19:08:07 |
| sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-10-25 19:08:49 |
| cargo-update-doc-18.0.0-r0.apk | 8.5 KiB | 2025-10-28 07:24:37 |
| perl-smart-comments-doc-1.06-r0.apk | 8.5 KiB | 2025-07-07 17:02:25 |
| py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-10-25 19:08:15 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-10-25 19:08:25 |
| formiko-doc-1.5.0-r1.apk | 8.5 KiB | 2026-01-07 10:30:26 |
| fatresize-1.1.0-r1.apk | 8.5 KiB | 2024-10-25 19:07:11 |
| pounce-doc-3.1-r4.apk | 8.5 KiB | 2025-09-13 01:00:11 |
| py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-10-25 19:08:18 |
| perl-moosex-methodattributes-0.32-r0.apk | 8.6 KiB | 2025-03-19 11:44:15 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-10-25 19:08:13 |
| lua-dkjson-2.8-r0.apk | 8.6 KiB | 2026-01-23 00:28:13 |
| logc-dev-0.5.0-r1.apk | 8.6 KiB | 2025-06-13 14:11:53 |
| py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-10-25 19:08:18 |
| perl-catalyst-authentication-credential-http-1.018-r0.apk | 8.6 KiB | 2025-04-04 05:39:24 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8.6 KiB | 2025-08-28 16:22:57 |
| rustic-bash-completion-0.9.3-r0.apk | 8.6 KiB | 2024-10-25 19:08:25 |
| certbot-dns-pdns-0.1.1-r1.apk | 8.6 KiB | 2025-08-28 01:19:55 |
| createrepo_c-doc-1.1.4-r1.apk | 8.6 KiB | 2025-12-30 20:57:51 |
| py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-10-25 19:08:19 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-10-25 19:08:18 |
| perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-10-25 19:08:07 |
| php81-pecl-pcov-1.0.12-r0.apk | 8.6 KiB | 2024-12-04 17:17:33 |
| cvs-fast-export-tools-1.65-r0.apk | 8.6 KiB | 2024-10-25 19:06:06 |
| libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-10-25 19:07:32 |
| sqruff-doc-0.25.26-r0.apk | 8.6 KiB | 2025-04-13 20:34:52 |
| lua5.2-libmodbus-0.6.1-r0.apk | 8.6 KiB | 2024-10-25 19:07:38 |
| perl-sort-naturally-1.03-r4.apk | 8.6 KiB | 2024-10-25 19:08:07 |
| lua5.1-libmodbus-0.6.1-r0.apk | 8.6 KiB | 2024-10-25 19:07:38 |
| perl-musicbrainz-discid-0.06-r2.apk | 8.7 KiB | 2025-07-01 18:10:43 |
| quodlibet-doc-4.7.1-r0.apk | 8.7 KiB | 2025-09-19 14:53:45 |
| wpa_actiond-1.4-r7.apk | 8.7 KiB | 2024-10-25 19:09:08 |
| daktilo-doc-0.6.0-r0.apk | 8.7 KiB | 2024-10-25 19:06:06 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-12-01 20:13:53 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-10-25 19:08:15 |
| lua5.2-luastatic-0.0.12-r1.apk | 8.7 KiB | 2024-10-25 19:07:38 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.7 KiB | 2025-03-20 16:04:27 |
| lua5.1-ubus-2025.10.17-r0.apk | 8.7 KiB | 2025-10-25 12:57:28 |
| py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-10-25 19:08:19 |
| lua5.2-ubus-2025.10.17-r0.apk | 8.7 KiB | 2025-10-25 12:57:28 |
| py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-10-25 19:08:15 |
| py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-10-25 19:08:15 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 8.7 KiB | 2025-09-29 06:12:46 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-10-25 19:08:19 |
| lua5.3-luastatic-0.0.12-r1.apk | 8.7 KiB | 2024-10-25 19:07:38 |
| perl-constant-generate-0.17-r5.apk | 8.7 KiB | 2024-10-25 19:08:07 |
| php82-pecl-pcov-1.0.12-r0.apk | 8.8 KiB | 2025-10-24 02:07:04 |
| h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-10-25 19:07:22 |
| py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-10-25 19:08:19 |
| vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-10-25 19:09:06 |
| lua5.4-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-10-25 19:07:38 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk | 8.8 KiB | 2025-07-15 20:03:25 |
| perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-10-25 19:08:07 |
| py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-10-25 19:08:19 |
| ipp-usb-doc-0.9.30-r6.apk | 8.8 KiB | 2026-01-19 00:22:22 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-10-25 19:08:19 |
| libucl-doc-0.9.0-r0.apk | 8.8 KiB | 2024-10-25 19:07:35 |
| ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-10-25 19:06:00 |
| rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-10-25 19:08:24 |
| perl-net-curl-promiser-0.20-r0.apk | 8.8 KiB | 2024-10-25 19:08:07 |
| libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-10-25 19:07:33 |
| py3-phpserialize-1.3-r8.apk | 8.9 KiB | 2024-10-25 19:08:18 |
| tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-10-25 19:08:53 |
| py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-10-25 19:08:18 |
| perl-string-escape-2010.002-r0.apk | 8.9 KiB | 2025-03-29 18:40:19 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8.9 KiB | 2025-07-15 20:03:25 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 8.9 KiB | 2024-10-25 19:08:52 |
| perl-data-clone-0.006-r1.apk | 8.9 KiB | 2025-07-01 18:10:43 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8.9 KiB | 2025-07-15 20:03:25 |
| perl-autobox-doc-3.0.2-r1.apk | 8.9 KiB | 2025-07-01 18:10:43 |
| md5ha1-0_git20171202-r1.apk | 8.9 KiB | 2024-10-25 19:07:46 |
| py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-10-25 19:08:18 |
| ruby-hashdiff-1.2.1-r0.apk | 9.0 KiB | 2025-10-21 04:18:01 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 9.0 KiB | 2024-10-25 19:08:19 |
| libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-10-25 19:07:35 |
| py3-forbiddenfruit-0.1.4-r2.apk | 9.0 KiB | 2024-10-25 19:08:15 |
| perl-carp-assert-more-2.9.0-r0.apk | 9.0 KiB | 2025-04-13 14:57:02 |
| py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-10-25 19:08:15 |
| fff-doc-2.2-r0.apk | 9.0 KiB | 2024-10-25 19:07:12 |
| jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-10-25 19:07:26 |
| terminology-doc-1.14.0-r0.apk | 9.0 KiB | 2025-05-27 22:49:09 |
| perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-10-25 19:08:07 |
| cargo-shuttle-doc-0.56.6-r0.apk | 9.0 KiB | 2025-07-29 07:57:05 |
| pspp-doc-2.0.1-r1.apk | 9.0 KiB | 2025-10-08 10:22:43 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-10-25 19:08:19 |
| innernet-doc-1.6.1-r0.apk | 9.0 KiB | 2024-10-25 19:07:25 |
| console_bridge-1.0.2-r0.apk | 9.0 KiB | 2024-10-25 19:06:05 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 9.0 KiB | 2025-07-29 07:57:05 |
| bindfs-doc-1.17.7-r1.apk | 9.1 KiB | 2025-06-20 04:10:04 |
| thunarx-python-0.5.2-r2.apk | 9.1 KiB | 2024-10-25 19:08:55 |
| duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-10-25 19:07:06 |
| gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-10-25 19:07:15 |
| perl-catalyst-view-email-0.36-r0.apk | 9.1 KiB | 2025-04-04 09:42:55 |
| py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-10-25 19:08:15 |
| py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-10-25 19:08:15 |
| php82-bz2-8.2.30-r2.apk | 9.1 KiB | 2026-01-14 03:13:20 |
| nautilus-python-4.0.1-r0.apk | 9.1 KiB | 2025-10-12 21:54:21 |
| php83-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-10-25 19:08:08 |
| php81-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-10-25 19:08:08 |
| php82-pecl-jsmin-3.0.0-r0.apk | 9.1 KiB | 2024-10-25 19:08:08 |
| php81-bz2-8.1.34-r1.apk | 9.1 KiB | 2026-01-14 03:13:20 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-10-25 19:08:19 |
| perl-uri-find-doc-20160806-r0.apk | 9.1 KiB | 2025-03-23 07:58:39 |
| click-dev-0.5.2-r4.apk | 9.1 KiB | 2025-02-22 12:17:53 |
| lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-10-25 19:07:38 |
| lomiri-trust-store-dev-2.0.2-r14.apk | 9.1 KiB | 2025-12-18 14:17:18 |
| netsed-1.4-r0.apk | 9.1 KiB | 2025-08-18 10:15:25 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.2 KiB | 2024-10-25 19:08:19 |
| py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-10-25 19:08:19 |
| hurl-doc-7.1.0-r0.apk | 9.2 KiB | 2025-12-05 18:41:45 |
| perl-file-find-object-0.3.9-r0.apk | 9.2 KiB | 2025-07-15 10:28:49 |
| py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-10-25 19:08:18 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 9.2 KiB | 2025-08-08 16:10:51 |
| afetch-2.2.0-r1.apk | 9.2 KiB | 2024-10-25 19:05:53 |
| freediameter-1.5.0-r1.apk | 9.2 KiB | 2024-10-25 19:07:15 |
| py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-10-25 19:08:19 |
| perl-math-libm-1.00-r15.apk | 9.2 KiB | 2025-07-01 18:10:43 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-10-25 19:08:18 |
| perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-10-25 19:08:07 |
| php81-pecl-csv-0.4.3-r0.apk | 9.2 KiB | 2025-02-25 15:45:33 |
| pick-4.0.0-r0.apk | 9.2 KiB | 2024-10-25 19:08:09 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-10-25 19:08:14 |
| py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-10-25 19:08:15 |
| catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-10-25 19:06:00 |
| perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-10-25 19:08:07 |
| php84-pecl-csv-0.4.3-r0.apk | 9.3 KiB | 2025-02-25 15:45:33 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-10-25 19:08:19 |
| libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-10-25 19:07:33 |
| certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-11-27 23:06:02 |
| lolcat-1.4-r0.apk | 9.3 KiB | 2024-10-25 19:07:37 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-10-25 19:08:19 |
| perl-database-async-engine-postgresql-doc-1.005-r0.apk | 9.3 KiB | 2024-10-25 19:08:07 |
| cgo-0.6.1-r1.apk | 9.3 KiB | 2024-10-25 19:06:02 |
| buildbot-badges-4.3.0-r1.apk | 9.3 KiB | 2026-01-23 15:51:28 |
| kerberoast-0.2.0-r2.apk | 9.3 KiB | 2025-05-29 11:58:13 |
| sflowtool-doc-6.02-r0.apk | 9.3 KiB | 2024-10-25 19:08:46 |
| py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-11-30 20:18:55 |
| py3-python-archive-pyc-0.2-r7.apk | 9.4 KiB | 2024-10-25 19:08:18 |
| supermin-doc-5.2.2-r2.apk | 9.4 KiB | 2024-10-25 19:08:52 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9.4 KiB | 2025-09-26 00:43:27 |
| py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-10-25 19:08:18 |
| openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-10-25 19:08:03 |
| x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-10-25 19:09:09 |
| nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-10-25 19:07:52 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-10-25 19:08:18 |
| py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-10-25 19:08:18 |
| ifuse-1.1.4-r5.apk | 9.4 KiB | 2024-10-30 22:44:42 |
| visidata-zsh-completion-3.3-r0.apk | 9.4 KiB | 2025-09-16 04:50:29 |
| perl-class-c3-0.35-r1.apk | 9.4 KiB | 2024-10-25 19:08:07 |
| avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-10-25 19:05:59 |
| perl-css-inliner-doc-4027-r0.apk | 9.4 KiB | 2025-06-20 04:10:15 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9.4 KiB | 2025-06-20 04:10:15 |
| apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-10-25 19:05:55 |
| py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-10-25 19:08:15 |
| mktorrent-borg-0.9.9-r1.apk | 9.5 KiB | 2024-10-25 19:07:49 |
| pd-mapper-1.1-r0.apk | 9.5 KiB | 2026-01-13 00:01:57 |
| wl-screenrec-doc-0.1.7-r1.apk | 9.5 KiB | 2025-08-27 16:17:12 |
| py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-10-25 19:08:15 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.5 KiB | 2024-10-25 19:08:18 |
| perl-b-utils-doc-0.27-r1.apk | 9.5 KiB | 2025-07-01 18:10:43 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 9.5 KiB | 2025-07-25 17:01:08 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-10-25 19:08:15 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-10-25 19:08:15 |
| lomiri-indicator-network-dev-1.1.2-r0.apk | 9.5 KiB | 2025-09-09 13:17:31 |
| ufw-docker-251123-r0.apk | 9.6 KiB | 2026-01-04 00:59:03 |
| s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-10-25 19:08:25 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9.6 KiB | 2025-10-24 02:07:04 |
| irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-10-25 19:07:25 |
| atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-10-25 19:05:58 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-10-25 19:05:54 |
| py3-uptime-3.0.1-r9.apk | 9.6 KiB | 2024-10-25 19:08:19 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2024-11-21 13:31:24 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.7 KiB | 2024-10-25 19:08:15 |
| rygel-doc-45.1-r0.apk | 9.7 KiB | 2026-01-05 15:35:46 |
| keystone-python-pyc-0.9.2-r6.apk | 9.7 KiB | 2024-10-25 19:07:29 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-10-25 19:08:07 |
| py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-10-25 19:08:18 |
| extrace-0.9-r0.apk | 9.7 KiB | 2024-10-25 19:07:10 |
| py3-itunespy-1.6-r5.apk | 9.7 KiB | 2025-05-14 18:17:54 |
| xlhtml-0.5.1-r0.apk | 9.8 KiB | 2024-10-25 19:09:09 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9.8 KiB | 2025-08-09 00:22:05 |
| py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-10-25 19:08:18 |
| perl-data-visitor-0.32-r0.apk | 9.8 KiB | 2025-03-19 14:53:02 |
| py3-clickclick-pyc-20.10.2-r4.apk | 9.8 KiB | 2024-10-25 19:08:15 |
| opkg-0.7.0-r0.apk | 9.8 KiB | 2024-10-25 19:08:04 |
| perl-io-handle-util-doc-0.02-r0.apk | 9.8 KiB | 2025-06-11 16:34:50 |
| perl-freezethaw-0.5001-r3.apk | 9.8 KiB | 2025-10-18 10:32:37 |
| wch-isp-0.4.1-r2.apk | 9.8 KiB | 2024-10-25 19:09:07 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-10-25 19:08:18 |
| perl-test-modern-doc-0.013-r3.apk | 9.8 KiB | 2024-10-25 19:08:07 |
| pneink-theme-1.3-r0.apk | 9.8 KiB | 2025-07-30 04:56:00 |
| py3-natpmp-pyc-1.3.2-r1.apk | 9.9 KiB | 2024-10-25 19:08:18 |
| apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-10-25 19:05:55 |
| fatrace-0.18.0-r0.apk | 9.9 KiB | 2025-07-27 19:28:49 |
| perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-10-25 19:08:07 |
| libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-10-25 19:07:35 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-10-25 19:08:18 |
| py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-10-25 19:08:19 |
| py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-10-25 19:08:18 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-10-25 19:08:08 |
| ovos-messagebus-0.0.10-r0.apk | 10.0 KiB | 2025-04-08 07:43:38 |
| perl-netaddr-mac-0.99-r0.apk | 10.0 KiB | 2025-12-21 07:46:48 |
| evolution-on-3.24.4-r1.apk | 10.0 KiB | 2025-09-19 14:53:44 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10.0 KiB | 2024-10-25 19:08:18 |
| perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-10-25 19:08:07 |
| libdiscid-0.6.5-r0.apk | 10.0 KiB | 2026-01-09 23:20:39 |
| perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-10-25 19:08:07 |
| cargo-show-asm-doc-0.2.55-r0.apk | 10.0 KiB | 2026-01-23 10:54:46 |
| mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-10-25 19:07:51 |
| tick-1.2.3-r0.apk | 10.0 KiB | 2025-10-08 10:22:44 |
| py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-10-25 19:08:19 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-11-04 12:28:06 |
| enjoy-0.3-r1.apk | 10.0 KiB | 2024-10-25 19:07:10 |
| ptylie-0.2-r2.apk | 10.0 KiB | 2025-05-12 21:20:41 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-10-25 19:05:54 |
| py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-11-13 18:52:20 |
| py3-colorthief-pyc-0.2.1-r1.apk | 10.1 KiB | 2024-10-25 19:08:15 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10.1 KiB | 2025-07-15 16:49:31 |
| pixi-fish-completion-0.24.2-r0.apk | 10.1 KiB | 2024-10-25 19:08:11 |
| py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10.1 KiB | 2024-10-25 19:08:18 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 10.1 KiB | 2025-04-13 14:57:02 |
| flowd-doc-0.9.1-r11.apk | 10.1 KiB | 2025-07-01 18:10:43 |
| libdng-0.2.1-r0.apk | 10.1 KiB | 2024-12-27 22:09:47 |
| ansible-bender-doc-0.10.1-r2.apk | 10.1 KiB | 2024-10-25 19:05:54 |
| py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-10-25 19:08:18 |
| perl-html-query-doc-0.09-r0.apk | 10.1 KiB | 2025-06-20 04:10:15 |
| linuxptp-phc_ctl-4.4-r0.apk | 10.1 KiB | 2024-11-20 02:43:39 |
| espeakup-0.90-r2.apk | 10.1 KiB | 2024-10-25 19:07:10 |
| certbot-dns-hetzner-2.0.1-r1.apk | 10.1 KiB | 2025-10-08 10:22:39 |
| knative-client-bash-completion-1.19.6-r2.apk | 10.2 KiB | 2026-01-19 00:22:23 |
| py3-jaraco.vcs-2.4.1-r0.apk | 10.2 KiB | 2025-03-10 20:53:27 |
| py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-10-25 19:08:18 |
| py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-10-25 19:08:15 |
| nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-10-25 19:07:55 |
| toapk-1.0-r0.apk | 10.3 KiB | 2024-10-25 19:08:55 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.3 KiB | 2025-05-11 11:57:52 |
| py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-10-25 19:08:15 |
| py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-10-25 19:08:19 |
| perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-10-25 19:08:07 |
| py3-tls_parser-2.0.2-r0.apk | 10.3 KiB | 2025-07-15 10:28:49 |
| alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-10-25 19:05:53 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.3 KiB | 2025-03-20 16:04:27 |
| shntool-doc-3.0.10-r6.apk | 10.3 KiB | 2026-01-19 00:22:31 |
| py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-10-25 19:08:15 |
| perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-10-25 19:08:07 |
| php82-posix-8.2.30-r2.apk | 10.4 KiB | 2026-01-14 03:13:20 |
| php81-posix-8.1.34-r1.apk | 10.4 KiB | 2026-01-14 03:13:20 |
| termbox-1.1.2-r1.apk | 10.4 KiB | 2024-10-25 19:08:54 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 10.4 KiB | 2025-05-19 10:23:16 |
| lomiri-schemas-0.1.9-r0.apk | 10.4 KiB | 2025-10-01 17:03:36 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-12-01 20:13:53 |
| perl-clipboard-0.32-r1.apk | 10.4 KiB | 2025-10-13 15:50:32 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-10-25 19:08:18 |
| pixi-zsh-completion-0.24.2-r0.apk | 10.4 KiB | 2024-10-25 19:08:11 |
| py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-10-25 19:08:14 |
| perl-text-worddiff-0.09-r0.apk | 10.4 KiB | 2025-06-09 16:26:13 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-10-25 19:07:55 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk | 10.5 KiB | 2025-03-10 20:53:26 |
| py3-bencode-pyc-4.0.0-r1.apk | 10.5 KiB | 2024-10-25 19:08:15 |
| libcorkipset-1.1.1-r4.apk | 10.5 KiB | 2024-10-25 19:07:32 |
| ovos-phal-0.2.10-r0.apk | 10.5 KiB | 2025-07-15 19:29:58 |
| toss-1.1-r1.apk | 10.5 KiB | 2025-05-29 11:58:14 |
| perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-10-25 19:08:07 |
| cpuburn-1.4a_git20160316-r2.apk | 10.5 KiB | 2024-10-25 19:06:05 |
| lrcalc-2.1-r1.apk | 10.5 KiB | 2024-10-25 19:07:38 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10.5 KiB | 2025-08-08 16:10:51 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-10-25 19:08:19 |
| perl-pod-tidy-doc-0.10-r1.apk | 10.5 KiB | 2024-10-25 19:08:07 |
| perl-math-int64-doc-0.57-r2.apk | 10.5 KiB | 2025-07-01 18:10:43 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-10-25 19:08:19 |
| ddserver-0_git20200930-r1.apk | 10.5 KiB | 2024-10-25 19:06:14 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.6 KiB | 2024-10-25 19:08:19 |
| predoc-doc-0.2.3-r0.apk | 10.6 KiB | 2026-01-24 13:59:16 |
| ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-10-25 19:09:10 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.6 KiB | 2025-09-29 06:12:46 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10.6 KiB | 2024-10-25 19:08:15 |
| perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-10-25 19:08:07 |
| py3-flask-httpauth-pyc-4.8.0-r3.apk | 10.6 KiB | 2025-11-29 11:38:03 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-10-25 19:08:19 |
| perl-io-handle-util-0.02-r0.apk | 10.6 KiB | 2025-06-11 16:34:50 |
| py3-py-radix-pyc-0.10.0-r11.apk | 10.6 KiB | 2025-12-30 21:01:16 |
| py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-10-25 19:08:15 |
| qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-11-24 05:46:51 |
| neard-dev-0.19-r1.apk | 10.6 KiB | 2026-01-19 00:22:26 |
| py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-10-25 19:08:19 |
| setroot-2.0.2-r1.apk | 10.7 KiB | 2024-10-25 19:08:46 |
| py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-10-25 19:08:18 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10.7 KiB | 2025-05-11 11:57:51 |
| py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-10-25 19:08:18 |
| py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-12-06 22:57:23 |
| uclient-fetch-20251003-r0.apk | 10.7 KiB | 2026-01-05 19:39:24 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-10-25 19:08:19 |
| snapper-dev-0.13.0-r0.apk | 10.7 KiB | 2025-09-19 14:53:45 |
| fff-2.2-r0.apk | 10.7 KiB | 2024-10-25 19:07:12 |
| wlavu-0_git20201101-r1.apk | 10.7 KiB | 2024-10-25 19:09:07 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 10.8 KiB | 2025-04-04 09:42:55 |
| psi-notify-1.3.1-r0.apk | 10.8 KiB | 2024-10-25 19:08:14 |
| py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-10-25 19:08:15 |
| libnfcdef-1.1.0-r0.apk | 10.8 KiB | 2026-01-02 17:15:42 |
| perl-net-amqp-rabbitmq-doc-2.40014-r1.apk | 10.8 KiB | 2025-11-20 23:47:45 |
| turn-rs-doc-3.4.0-r1.apk | 10.8 KiB | 2025-06-12 12:11:56 |
| hsetroot-1.0.5-r1.apk | 10.8 KiB | 2024-10-25 19:07:24 |
| ssss-0.5.7-r0.apk | 10.8 KiB | 2024-10-25 19:08:49 |
| scenefx-dev-0.4.1-r0.apk | 10.9 KiB | 2025-12-20 23:13:53 |
| chasquid-doc-1.17.0-r1.apk | 10.9 KiB | 2026-01-19 00:22:16 |
| ruby-appraisal-2.5.0-r0.apk | 10.9 KiB | 2025-05-25 23:52:09 |
| py3-simple-websocket-1.1.0-r0.apk | 10.9 KiB | 2025-09-09 13:17:34 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.9 KiB | 2025-05-12 21:20:41 |
| harminv-libs-1.4.2-r1.apk | 10.9 KiB | 2024-10-25 19:07:22 |
| libetebase-dev-0.5.8-r0.apk | 10.9 KiB | 2025-09-27 19:40:41 |
| py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-10-25 19:08:19 |
| boost1.89-random-1.89.0-r1.apk | 10.9 KiB | 2026-01-19 00:22:15 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-12-01 17:24:15 |
| mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-10-25 19:07:49 |
| py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-10-25 19:08:19 |
| thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-10-25 19:08:55 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 11.0 KiB | 2025-07-15 16:49:31 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 11.0 KiB | 2024-10-25 19:08:15 |
| py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-10-25 19:08:15 |
| screenkey-doc-1.5-r7.apk | 11.0 KiB | 2025-07-24 13:42:51 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-12-31 09:39:55 |
| nuzzle-1.6-r0.apk | 11.0 KiB | 2025-01-25 07:04:13 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 11.0 KiB | 2025-07-08 16:54:08 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11.1 KiB | 2025-07-15 20:03:25 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-10-25 19:08:19 |
| lomiri-history-service-dev-0.6-r21.apk | 11.1 KiB | 2026-01-19 00:22:25 |
| 81voltd-1.1.0-r0.apk | 11.1 KiB | 2026-01-11 18:16:16 |
| comrak-doc-0.50.0-r0.apk | 11.1 KiB | 2026-01-22 12:39:26 |
| termbox-static-1.1.2-r1.apk | 11.2 KiB | 2024-10-25 19:08:54 |
| py3-vdf-3.4-r2.apk | 11.2 KiB | 2025-10-16 22:04:15 |
| libglib-testing-0.1.1-r0.apk | 11.2 KiB | 2025-05-11 11:57:48 |
| py3-downloader-cli-0.3.4-r2.apk | 11.2 KiB | 2025-05-14 18:17:54 |
| py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-10-25 19:08:18 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-10-25 19:08:19 |
| php82-pdo_dblib-8.2.30-r2.apk | 11.2 KiB | 2026-01-14 03:13:20 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-10-25 19:08:15 |
| lomiri-content-hub-dev-2.2.1-r0.apk | 11.2 KiB | 2025-12-10 17:06:24 |
| php81-pdo_dblib-8.1.34-r1.apk | 11.2 KiB | 2026-01-14 03:13:20 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk | 11.2 KiB | 2024-11-21 13:31:24 |
| kmscon-doc-9.2.1-r0.apk | 11.2 KiB | 2026-01-09 00:35:55 |
| py3-itemadapter-0.10.0-r0.apk | 11.3 KiB | 2024-11-30 20:42:18 |
| libcorkipset-tools-1.1.1-r4.apk | 11.3 KiB | 2024-10-25 19:07:32 |
| roll-doc-2.6.1-r1.apk | 11.3 KiB | 2025-10-13 15:50:32 |
| php82-xmlwriter-8.2.30-r2.apk | 11.3 KiB | 2026-01-14 03:13:20 |
| php81-xmlwriter-8.1.34-r1.apk | 11.3 KiB | 2026-01-14 03:13:20 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 11.3 KiB | 2025-10-08 10:22:43 |
| php81-pecl-xhprof-2.3.10-r0.apk | 11.3 KiB | 2024-10-25 19:08:08 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 11.3 KiB | 2025-10-24 02:07:04 |
| logtop-0.7-r1.apk | 11.3 KiB | 2025-08-09 00:22:05 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-10-25 19:08:19 |
| buildbot-grid-view-4.3.0-r1.apk | 11.3 KiB | 2026-01-23 15:51:28 |
| roll-2.6.1-r1.apk | 11.3 KiB | 2025-10-13 15:50:32 |
| lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-10-25 19:07:38 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11.3 KiB | 2025-07-15 10:28:49 |
| ip2location-dev-8.6.1-r0.apk | 11.3 KiB | 2024-10-25 19:07:25 |
| php82-pecl-xhprof-2.3.10-r0.apk | 11.4 KiB | 2025-10-24 02:07:04 |
| mm-dev-1.4.2-r1.apk | 11.4 KiB | 2024-10-25 19:07:49 |
| dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-10-25 19:06:14 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11.4 KiB | 2025-03-20 16:04:27 |
| py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11.4 KiB | 2024-10-25 19:08:18 |
| perl-test-file-1.995-r0.apk | 11.4 KiB | 2025-04-19 17:55:03 |
| libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-10-25 19:07:33 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 11.4 KiB | 2025-03-21 11:52:27 |
| lizardfs-doc-3.13.0-r17.apk | 11.4 KiB | 2025-06-20 04:10:15 |
| py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-10-25 19:08:18 |
| php82-tokenizer-8.2.30-r2.apk | 11.5 KiB | 2026-01-14 03:13:20 |
| belcard-dev-5.3.105-r0.apk | 11.5 KiB | 2025-02-25 12:48:31 |
| py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-10-25 19:08:15 |
| perl-xml-bare-doc-0.53-r14.apk | 11.5 KiB | 2025-07-01 18:10:43 |
| lua-markdown-0.33-r0.apk | 11.5 KiB | 2026-01-23 00:17:36 |
| py3-ovos-ww-plugin-vosk-0.1.7-r0.apk | 11.5 KiB | 2025-07-15 19:29:58 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11.5 KiB | 2025-07-30 04:56:00 |
| lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-10-25 19:07:38 |
| dislocker-0.7.3-r6.apk | 11.5 KiB | 2025-07-24 13:42:48 |
| restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-10-25 19:08:23 |
| php81-tokenizer-8.1.34-r1.apk | 11.5 KiB | 2026-01-14 03:13:20 |
| py3-libpyshell-0.4.1-r1.apk | 11.5 KiB | 2025-06-10 09:39:22 |
| ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-10-25 19:06:14 |
| gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-10-25 19:07:17 |
| py3-crc16-0.1.1-r10.apk | 11.6 KiB | 2024-10-25 19:08:15 |
| luksmeta-9-r0.apk | 11.6 KiB | 2024-10-25 19:07:38 |
| py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-11-30 20:18:55 |
| java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-10-25 19:07:26 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-10-25 19:05:58 |
| php82-pdo_sqlite-8.2.30-r2.apk | 11.6 KiB | 2026-01-14 03:13:20 |
| rmtfs-1.1.1-r2.apk | 11.6 KiB | 2026-01-19 00:22:30 |
| py3-ioctl-opt-1.3-r0.apk | 11.6 KiB | 2025-01-27 21:37:35 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-10-25 19:08:07 |
| php81-pdo_sqlite-8.1.34-r1.apk | 11.6 KiB | 2026-01-14 03:13:20 |
| wshowkeys-1.0-r0.apk | 11.6 KiB | 2024-10-25 19:09:08 |
| minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-10-25 19:07:47 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11.7 KiB | 2025-02-09 15:13:51 |
| octoprint-filecheck-pyc-2025.7.23-r0.apk | 11.7 KiB | 2026-01-04 14:27:31 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 11.7 KiB | 2024-10-25 19:08:21 |
| py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-10-25 19:08:15 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11.7 KiB | 2025-01-29 06:49:27 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-10-25 19:08:18 |
| py3-r2pipe-5.9.0-r0.apk | 11.7 KiB | 2025-12-29 21:20:21 |
| py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-10-25 19:08:19 |
| py3-rofi-pyc-1.0.1-r1.apk | 11.7 KiB | 2025-08-09 00:22:05 |
| py3-virtualenvwrapper-pyc-6.1.1-r1.apk | 11.7 KiB | 2025-12-18 11:02:53 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-10-25 19:08:19 |
| capsudo-0.1.2-r0.apk | 11.8 KiB | 2026-01-24 20:40:58 |
| php81-pdo_odbc-8.1.34-r1.apk | 11.8 KiB | 2026-01-14 03:13:20 |
| tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-10-25 19:08:53 |
| libmygpo-qt-dev-1.1.0-r2.apk | 11.9 KiB | 2024-10-25 19:07:34 |
| py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-10-25 19:08:18 |
| py3-simber-0.2.6-r5.apk | 11.9 KiB | 2025-05-14 18:17:54 |
| perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-10-25 19:08:07 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.9 KiB | 2024-11-21 13:31:24 |
| ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-10-25 19:08:05 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-10-25 19:08:19 |
| py3-git-versioner-7.1-r1.apk | 12.0 KiB | 2024-10-25 19:08:15 |
| mint-y-icons-doc-1.9.1-r0.apk | 12.0 KiB | 2026-01-06 13:43:27 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12.0 KiB | 2024-10-25 19:08:19 |
| perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-10-25 19:08:07 |
| pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-10-25 19:08:12 |
| boost1.89-chrono-1.89.0-r1.apk | 12.0 KiB | 2026-01-19 00:22:15 |
| perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-12-28 04:54:08 |
| perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-10-25 19:08:07 |
| py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-12-01 17:24:15 |
| perl-file-changenotify-0.31-r0.apk | 12.1 KiB | 2025-03-20 16:04:27 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12.1 KiB | 2025-04-13 14:57:02 |
| tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-12-01 17:09:08 |
| jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-10-25 19:07:26 |
| perl-log-message-doc-0.08-r3.apk | 12.1 KiB | 2024-10-25 19:08:07 |
| vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-10-25 19:09:06 |
| faultstat-0.01.11-r0.apk | 12.1 KiB | 2024-10-25 19:07:11 |
| perl-crypt-blowfish-2.14-r1.apk | 12.1 KiB | 2025-07-01 18:10:43 |
| py3-evalidate-pyc-2.1.3-r0.apk | 12.2 KiB | 2025-12-16 14:54:12 |
| belcard-5.3.105-r0.apk | 12.2 KiB | 2025-02-25 12:48:31 |
| py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-10-25 19:08:19 |
| py3-rofi-1.0.1-r1.apk | 12.2 KiB | 2025-08-09 00:22:05 |
| php81-tideways_xhprof-5.0.4-r1.apk | 12.2 KiB | 2024-10-25 19:08:08 |
| sdl3_image-dev-3.2.6-r0.apk | 12.2 KiB | 2026-01-07 10:54:52 |
| py3-wikipedia-1.4.0-r0.apk | 12.2 KiB | 2025-09-14 06:32:01 |
| usbtop-1.0-r0.apk | 12.2 KiB | 2025-04-12 12:26:44 |
| lsdvd-0.17-r0.apk | 12.2 KiB | 2024-10-25 19:07:38 |
| py3-iso639-lang-pyc-2.6.3-r0.apk | 12.2 KiB | 2025-12-04 13:54:41 |
| py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12.2 KiB | 2024-10-25 19:08:18 |
| xfd-1.1.4-r0.apk | 12.3 KiB | 2024-10-25 19:09:09 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12.3 KiB | 2024-10-25 19:08:18 |
| perl-smart-comments-1.06-r0.apk | 12.3 KiB | 2025-07-07 17:02:25 |
| logtop-libs-0.7-r1.apk | 12.3 KiB | 2025-08-09 00:22:05 |
| php81-pdo_mysql-8.1.34-r1.apk | 12.3 KiB | 2026-01-14 03:13:20 |
| php82-pdo_mysql-8.2.30-r2.apk | 12.3 KiB | 2026-01-14 03:13:20 |
| mp3val-0.1.8-r1.apk | 12.3 KiB | 2024-10-25 19:07:51 |
| py3-itemloaders-1.3.2-r1.apk | 12.3 KiB | 2025-08-09 00:22:05 |
| php82-xsl-8.2.30-r2.apk | 12.3 KiB | 2026-01-14 03:13:20 |
| rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-10-25 19:08:23 |
| legume-doc-1.4.2-r15.apk | 12.3 KiB | 2026-01-19 00:22:25 |
| nkk-0_git20221010-r0.apk | 12.3 KiB | 2024-10-25 19:07:54 |
| vmtouch-1.3.1-r0.apk | 12.3 KiB | 2024-10-25 19:09:06 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12.3 KiB | 2025-10-09 12:30:45 |
| py3-pymsteams-0.2.5-r0.apk | 12.4 KiB | 2025-02-22 12:17:59 |
| php82-pdo_odbc-8.2.30-r2.apk | 12.4 KiB | 2026-01-14 03:13:20 |
| perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-10-25 19:08:07 |
| py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-12-13 04:57:44 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12.4 KiB | 2025-09-09 13:17:33 |
| php81-xsl-8.1.34-r1.apk | 12.4 KiB | 2026-01-14 03:13:20 |
| perl-lingua-stem-2.31-r0.apk | 12.4 KiB | 2025-06-08 16:07:13 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-10-25 19:08:14 |
| afew-doc-3.0.1-r0.apk | 12.4 KiB | 2025-05-18 22:30:35 |
| m2r2-0.3.4-r0.apk | 12.4 KiB | 2026-01-08 17:31:59 |
| py3-queuelib-1.8.0-r0.apk | 12.4 KiB | 2025-09-01 18:13:45 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-10-25 19:08:19 |
| py3-cobs-pyc-1.2.0-r4.apk | 12.5 KiB | 2024-10-25 19:08:15 |
| php81-xmlreader-8.1.34-r1.apk | 12.5 KiB | 2026-01-14 03:13:20 |
| py3-prctl-1.8.1-r0.apk | 12.5 KiB | 2025-10-20 13:57:35 |
| xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-10-25 19:09:09 |
| py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-12-07 21:14:24 |
| geomyidae-0.34-r2.apk | 12.5 KiB | 2024-10-25 19:07:16 |
| py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk | 12.5 KiB | 2025-07-15 19:29:58 |
| py3-discid-1.3.0-r0.apk | 12.5 KiB | 2025-07-28 21:30:08 |
| nvimpager-0.12.0-r0.apk | 12.6 KiB | 2024-10-25 19:07:55 |
| py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-10-25 19:08:15 |
| geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-10-25 19:07:16 |
| libhomfly-1.02_p6-r1.apk | 12.6 KiB | 2024-10-25 19:07:33 |
| rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-10-25 19:08:24 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-11-30 20:42:18 |
| kubesplit-0.3.3-r1.apk | 12.7 KiB | 2024-10-25 19:07:32 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12.7 KiB | 2025-07-01 18:10:43 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.7 KiB | 2024-10-25 19:08:15 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 12.7 KiB | 2025-04-12 15:51:53 |
| saait-doc-0.8-r0.apk | 12.7 KiB | 2024-10-25 19:08:25 |
| py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-10-25 19:08:19 |
| gr-satellites-dev-5.5.0-r6.apk | 12.7 KiB | 2025-10-12 12:12:58 |
| rinetd-0.73-r0.apk | 12.7 KiB | 2024-10-25 19:08:23 |
| dam-0_git20250728-r0.apk | 12.7 KiB | 2025-12-22 21:22:33 |
| py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-12-07 21:51:32 |
| dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-12-07 20:22:46 |
| apk-autoupdate-0_git20210421-r1.apk | 12.7 KiB | 2024-11-20 02:43:38 |
| perl-cli-osprey-0.08-r0.apk | 12.8 KiB | 2024-12-28 04:54:08 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 12.8 KiB | 2025-08-30 01:39:27 |
| py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-10-25 19:08:15 |
| libvisio2svg-0.5.5-r3.apk | 12.8 KiB | 2024-10-25 19:07:35 |
| php81-pcntl-8.1.34-r1.apk | 12.8 KiB | 2026-01-14 03:13:20 |
| libecap-1.0.1-r1.apk | 12.8 KiB | 2024-10-25 19:07:33 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-10-25 19:08:15 |
| php82-calendar-8.2.30-r2.apk | 12.8 KiB | 2026-01-14 03:13:20 |
| glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-10-25 19:07:16 |
| imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-10-25 19:07:25 |
| py3-lsp-mypy-0.7.0-r1.apk | 12.8 KiB | 2025-08-30 01:39:27 |
| py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-10-25 19:08:15 |
| libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-10-25 19:07:32 |
| libsimpleble-c-0.10.4-r0.apk | 12.9 KiB | 2026-01-13 03:12:45 |
| py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-10-25 19:08:19 |
| devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-10-25 19:06:14 |
| perl-email-abstract-doc-3.010-r0.apk | 12.9 KiB | 2024-10-25 19:08:07 |
| crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-11-12 11:34:15 |
| py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-10-25 19:08:15 |
| wmctrl-1.07-r1.apk | 12.9 KiB | 2024-10-25 19:09:08 |
| py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-10-25 19:08:15 |
| perl-syntax-keyword-match-0.15-r1.apk | 12.9 KiB | 2025-07-01 18:10:43 |
| lychee-doc-0.19.1-r0.apk | 12.9 KiB | 2025-06-20 04:10:15 |
| xiccd-0.4.1-r0.apk | 12.9 KiB | 2026-01-06 14:31:57 |
| php82-xmlreader-8.2.30-r2.apk | 12.9 KiB | 2026-01-14 03:13:20 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 12.9 KiB | 2025-07-25 17:01:08 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-10-25 19:08:19 |
| speedtest-examples-5.2.5-r1.apk | 13.0 KiB | 2024-10-25 19:08:48 |
| kubesplit-pyc-0.3.3-r1.apk | 13.0 KiB | 2024-10-25 19:07:32 |
| py3-pam-pyc-2.0.2-r2.apk | 13.0 KiB | 2024-10-25 19:08:18 |
| biometryd-dev-0.3.3-r0.apk | 13.0 KiB | 2025-12-10 17:06:24 |
| gesture-0.8-r0.apk | 13.0 KiB | 2026-01-19 14:19:49 |
| php81-calendar-8.1.34-r1.apk | 13.0 KiB | 2026-01-14 03:13:20 |
| barrier-doc-2.4.0-r2.apk | 13.0 KiB | 2025-02-22 12:17:52 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 13.0 KiB | 2024-10-25 19:08:15 |
| makeself-2.5.0-r0.apk | 13.0 KiB | 2024-10-25 19:07:41 |
| py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-10-25 19:08:19 |
| libhwpwm-doc-0.4.4-r0.apk | 13.0 KiB | 2024-10-25 19:07:33 |
| rustic-zsh-completion-0.9.3-r0.apk | 13.0 KiB | 2024-10-25 19:08:25 |
| py3-spidev-3.6-r1.apk | 13.0 KiB | 2024-10-25 19:08:19 |
| py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-10-25 19:08:19 |
| py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-10-25 19:08:15 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-10-25 19:08:46 |
| perl-text-german-0.06-r0.apk | 13.1 KiB | 2025-06-07 02:00:14 |
| apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-10-25 19:05:55 |
| php81-pecl-brotli-0.18.3-r0.apk | 13.1 KiB | 2025-12-01 02:45:33 |
| py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-10-25 19:08:18 |
| py3-pydes-pyc-2.0.1-r5.apk | 13.2 KiB | 2024-10-25 19:08:18 |
| php82-pecl-brotli-0.18.3-r0.apk | 13.2 KiB | 2025-12-01 02:45:33 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13.2 KiB | 2025-07-15 10:28:49 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-10-25 19:08:18 |
| mautrix-zulip-doc-0.2511.0-r2.apk | 13.2 KiB | 2026-01-19 00:22:26 |
| perl-file-find-object-doc-0.3.9-r0.apk | 13.2 KiB | 2025-07-15 10:28:49 |
| mautrix-linkedin-doc-0.2512.0-r1.apk | 13.2 KiB | 2026-01-19 00:22:26 |
| hx-1.0.15-r0.apk | 13.2 KiB | 2024-10-25 19:07:25 |
| tcpbench-3.00-r1.apk | 13.2 KiB | 2025-09-13 01:00:11 |
| aptdec-libs-1.8.0-r1.apk | 13.2 KiB | 2025-02-08 23:44:09 |
| perl-html-gumbo-0.18-r2.apk | 13.2 KiB | 2025-07-01 18:10:43 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.3 KiB | 2025-04-04 09:42:55 |
| catfish-doc-4.20.1-r0.apk | 13.3 KiB | 2025-07-07 19:21:35 |
| rgxg-0.1.2-r2.apk | 13.3 KiB | 2024-10-25 19:08:23 |
| startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-10-25 19:08:50 |
| py3-flask-migrate-4.1.0-r0.apk | 13.3 KiB | 2025-07-15 10:28:49 |
| perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk | 13.3 KiB | 2025-06-11 16:35:26 |
| py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-12-13 04:57:44 |
| py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-10-25 19:08:15 |
| libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-10-25 19:07:33 |
| touchpad-emulator-0.3-r0.apk | 13.4 KiB | 2025-05-26 09:33:42 |
| par-1.53.0-r1.apk | 13.4 KiB | 2024-10-25 19:08:06 |
| mpdcron-doc-0.3-r1.apk | 13.4 KiB | 2024-10-25 19:07:51 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-10-25 19:08:19 |
| convert2json-doc-2.4.1-r0.apk | 13.4 KiB | 2025-12-15 16:19:08 |
| perl-cpan-changes-0.500005-r0.apk | 13.4 KiB | 2025-08-16 14:16:11 |
| perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-10-25 19:08:07 |
| wabt-doc-1.0.37-r0.apk | 13.4 KiB | 2025-04-06 21:38:39 |
| tree-sitter-just-0_git20230318-r0.apk | 13.4 KiB | 2024-10-25 19:08:56 |
| cmusfm-0.5.0-r1.apk | 13.4 KiB | 2025-08-27 04:13:59 |
| libinfnoise-0.3.3-r0.apk | 13.4 KiB | 2025-05-26 08:58:44 |
| py3-discid-pyc-1.3.0-r0.apk | 13.5 KiB | 2025-07-28 21:30:08 |
| py3-ecbdata-0.1.1-r0.apk | 13.5 KiB | 2025-04-14 00:06:50 |
| py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-10-25 19:08:15 |
| perl-cpan-audit-20250829.001-r0.apk | 13.5 KiB | 2025-09-09 13:17:33 |
| logc-argp-0.5.0-r1.apk | 13.5 KiB | 2025-06-13 14:11:53 |
| readosm-1.1.0-r3.apk | 13.5 KiB | 2025-05-12 21:20:42 |
| sacc-1.07-r1.apk | 13.6 KiB | 2025-09-13 01:00:11 |
| bash-pinyin-completion-rs-doc-1.0.4-r0.apk | 13.6 KiB | 2026-01-20 14:21:22 |
| afetch-doc-2.2.0-r1.apk | 13.6 KiB | 2024-10-25 19:05:53 |
| ufw-docker-doc-251123-r0.apk | 13.6 KiB | 2026-01-04 00:59:03 |
| mkosi-sandbox-26-r1.apk | 13.6 KiB | 2025-12-27 17:17:24 |
| bettercap-doc-2.41.5-r1.apk | 13.6 KiB | 2026-01-19 00:22:15 |
| perl-uri-find-20160806-r0.apk | 13.6 KiB | 2025-03-23 07:58:39 |
| perl-catalyst-view-tt-0.46-r0.apk | 13.6 KiB | 2025-04-12 15:51:53 |
| perl-moosex-getopt-0.78-r0.apk | 13.6 KiB | 2025-03-16 04:03:23 |
| py3-evalidate-2.1.3-r0.apk | 13.6 KiB | 2025-12-16 14:54:12 |
| py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-10-28 21:51:26 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-12-06 22:57:23 |
| xed-dev-3.8.4-r0.apk | 13.7 KiB | 2025-10-23 07:55:24 |
| peg-doc-0.1.18-r1.apk | 13.7 KiB | 2024-10-25 19:08:07 |
| slurm-0.4.4-r0.apk | 13.7 KiB | 2024-10-25 19:08:47 |
| perl-text-worddiff-doc-0.09-r0.apk | 13.7 KiB | 2025-06-09 16:26:13 |
| bwrap-oci-0.2-r1.apk | 13.7 KiB | 2024-10-25 19:06:00 |
| steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-10-25 19:08:50 |
| py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-10-25 19:08:19 |
| aoetools-doc-37-r2.apk | 13.8 KiB | 2025-01-14 13:44:18 |
| seaweedfs-doc-3.93-r5.apk | 13.8 KiB | 2026-01-19 00:22:31 |
| xcompmgr-1.1.10-r0.apk | 13.8 KiB | 2025-06-28 11:24:23 |
| networkmanager-dmenu-2.6.1-r1.apk | 13.8 KiB | 2025-10-13 15:50:32 |
| perl-html-query-0.09-r0.apk | 13.8 KiB | 2025-06-20 04:10:15 |
| libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-10-25 19:07:33 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 13.8 KiB | 2025-03-13 12:27:38 |
| fnf-0.1-r0.apk | 13.8 KiB | 2024-10-25 19:07:12 |
| py3-zope-i18nmessageid-8.2-r0.apk | 13.9 KiB | 2025-12-25 12:29:39 |
| tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2024-10-25 19:08:55 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-10-25 19:08:19 |
| perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk | 13.9 KiB | 2025-06-11 16:35:26 |
| php82-pecl-vld-0.19.1-r0.apk | 13.9 KiB | 2025-07-24 13:42:50 |
| php83-pecl-vld-0.19.1-r0.apk | 13.9 KiB | 2025-07-24 13:42:50 |
| cpp-httplib-doc-0.30.1-r0.apk | 13.9 KiB | 2026-01-10 15:30:25 |
| py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-10-25 19:08:18 |
| py3-pdal-pyc-3.5.3-r0.apk | 13.9 KiB | 2026-01-19 16:04:32 |
| py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-10-25 19:08:15 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-10-25 19:08:07 |
| php82-pcntl-8.2.30-r2.apk | 14.0 KiB | 2026-01-14 03:13:20 |
| libdbusaccess-1.0.20-r1.apk | 14.0 KiB | 2025-08-09 00:22:03 |
| ideviceinstaller-1.1.1-r4.apk | 14.0 KiB | 2024-10-30 22:44:42 |
| tuptime-5.2.4-r2.apk | 14.0 KiB | 2025-09-09 13:17:38 |
| tere-doc-1.6.0-r0.apk | 14.0 KiB | 2024-10-25 19:08:54 |
| perl-file-changenotify-doc-0.31-r0.apk | 14.0 KiB | 2025-03-20 16:04:27 |
| amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-10-25 19:05:54 |
| ttynvt-0.17-r0.apk | 14.0 KiB | 2025-08-22 12:50:34 |
| autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-10-25 19:05:58 |
| qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-10-25 19:08:19 |
| gammastep-doc-2.0.9-r3.apk | 14.1 KiB | 2024-10-25 19:07:15 |
| sqlar-0_git20180107-r1.apk | 14.1 KiB | 2024-10-25 19:08:49 |
| ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-10-25 19:07:32 |
| rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-10-25 19:08:24 |
| perl-snmp-doc-5.0404-r14.apk | 14.1 KiB | 2025-07-01 18:10:43 |
| py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-10-25 19:08:18 |
| php85-pecl-vld-0.19.1-r1.apk | 14.1 KiB | 2025-09-24 13:21:16 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 14.1 KiB | 2025-05-14 18:17:54 |
| py3-editdistance-s-1.0.0-r6.apk | 14.1 KiB | 2024-10-25 19:08:15 |
| zarchive-0.1.2-r2.apk | 14.2 KiB | 2024-10-25 19:09:11 |
| php84-pecl-vld-0.19.1-r0.apk | 14.2 KiB | 2025-07-24 13:42:50 |
| py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-10-25 19:08:15 |
| tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-12-01 17:09:08 |
| py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-10-25 19:08:15 |
| py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-10-25 19:08:19 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-10-25 19:08:18 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14.2 KiB | 2025-11-17 15:33:27 |
| mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-10-25 19:07:51 |
| dasht-2.4.0-r0.apk | 14.2 KiB | 2024-10-25 19:06:14 |
| watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-10-25 19:09:07 |
| perl-path-dispatcher-1.08-r0.apk | 14.2 KiB | 2025-06-09 12:05:48 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-10-25 19:08:19 |
| sandbar-0.2_git20250909-r0.apk | 14.2 KiB | 2025-12-21 14:37:56 |
| linuxptp-timemaster-4.4-r0.apk | 14.2 KiB | 2024-11-20 02:43:39 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-10-25 19:08:15 |
| tang-15-r0.apk | 14.3 KiB | 2025-01-25 07:04:20 |
| kirc-0.3.3-r0.apk | 14.3 KiB | 2025-02-22 12:34:49 |
| dropwatch-1.5.5-r2.apk | 14.3 KiB | 2025-12-04 13:54:18 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-10-25 19:08:15 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 14.3 KiB | 2025-08-08 16:10:48 |
| ruby-minitest-autotest-1.2.1-r0.apk | 14.3 KiB | 2026-01-10 13:08:48 |
| libzvbi-dev-0.2.44-r0.apk | 14.3 KiB | 2025-03-11 20:40:02 |
| mm-doc-1.4.2-r1.apk | 14.3 KiB | 2024-10-25 19:07:49 |
| py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-10-25 19:08:19 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 14.3 KiB | 2025-10-24 02:07:04 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14.3 KiB | 2025-05-25 23:54:29 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 14.3 KiB | 2025-08-08 16:10:48 |
| py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-12-07 21:14:24 |
| py3-prefixed-0.9.0-r0.apk | 14.4 KiB | 2025-08-28 16:22:57 |
| wlclock-1.0.1-r0.apk | 14.4 KiB | 2024-10-25 19:09:07 |
| mdnsd-doc-0.12-r1.apk | 14.4 KiB | 2024-10-25 19:07:46 |
| py3-unidns-0.0.4-r0.apk | 14.4 KiB | 2025-11-03 06:49:14 |
| mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-10-25 19:07:44 |
| aprilsh-doc-0.7.12-r11.apk | 14.4 KiB | 2026-01-19 00:22:14 |
| py3-mistune1-0.8.4-r6.apk | 14.4 KiB | 2025-11-09 18:52:52 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14.4 KiB | 2025-04-04 11:56:57 |
| gmid-doc-2.1.1-r1.apk | 14.5 KiB | 2026-01-12 17:39:04 |
| belr-dev-5.3.105-r0.apk | 14.5 KiB | 2025-02-25 12:52:43 |
| py3-createrepo_c-pyc-1.1.4-r1.apk | 14.5 KiB | 2025-12-30 20:57:51 |
| infnoise-0.3.3-r0.apk | 14.6 KiB | 2025-05-26 08:58:44 |
| throttled-0.10.0-r1.apk | 14.6 KiB | 2024-12-15 19:22:54 |
| uclient-20251003-r0.apk | 14.6 KiB | 2026-01-05 19:39:24 |
| xmppipe-0.16.0-r1.apk | 14.6 KiB | 2024-10-25 19:09:09 |
| boson-0_git20211219-r0.apk | 14.6 KiB | 2024-10-25 19:06:00 |
| py3-itunespy-pyc-1.6-r5.apk | 14.6 KiB | 2025-05-14 18:17:54 |
| perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-10-25 19:08:07 |
| clatd-2.1.0-r0.apk | 14.6 KiB | 2026-01-08 21:57:01 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-12-31 09:39:55 |
| mdp-1.0.18-r0.apk | 14.6 KiB | 2025-07-10 02:28:17 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-10-25 19:08:15 |
| mjpg-streamer-input-raspicam-0_git20210220-r2.apk | 14.7 KiB | 2025-05-14 15:03:43 |
| libresprite-doc-1.2-r0.apk | 14.7 KiB | 2025-04-13 22:16:01 |
| sfizz-dev-1.2.3-r1.apk | 14.7 KiB | 2025-10-02 14:29:59 |
| octoprint-pisupport-pyc-2025.7.23-r0.apk | 14.7 KiB | 2026-01-04 14:27:31 |
| git-secret-0.5.0-r0.apk | 14.8 KiB | 2024-10-25 19:07:16 |
| py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-10-25 19:08:19 |
| mint-themes-doc-2.3.7-r1.apk | 14.8 KiB | 2026-01-24 14:18:44 |
| godini-doc-1.0.0-r6.apk | 14.8 KiB | 2026-01-19 00:22:19 |
| kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 14.8 KiB | 2024-10-25 19:07:30 |
| py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-10-25 19:08:18 |
| xmag-1.0.8-r0.apk | 14.8 KiB | 2024-10-25 19:09:09 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-10-25 19:08:14 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-10-25 19:08:07 |
| sssd-dev-2.11.1-r2.apk | 14.8 KiB | 2025-11-20 22:09:06 |
| mkg3a-0.5.0-r1.apk | 14.8 KiB | 2024-10-25 19:07:49 |
| perl-test-www-mechanize-1.60-r0.apk | 14.8 KiB | 2025-04-13 14:57:02 |
| perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-10-25 19:08:07 |
| commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-10-25 19:06:04 |
| lua5.4-linenoise-0.9-r1.apk | 14.8 KiB | 2024-10-25 19:07:38 |
| lua5.1-linenoise-0.9-r1.apk | 14.8 KiB | 2024-10-25 19:07:38 |
| py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-10-25 19:08:18 |
| lua5.3-linenoise-0.9-r1.apk | 14.9 KiB | 2024-10-25 19:07:38 |
| lua5.2-linenoise-0.9-r1.apk | 14.9 KiB | 2024-10-25 19:07:38 |
| mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-10-25 19:07:51 |
| sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-10-25 19:08:48 |
| virter-doc-0.29.0-r6.apk | 14.9 KiB | 2026-01-19 00:22:37 |
| fuseiso-20070708-r0.apk | 14.9 KiB | 2025-04-14 01:10:06 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk | 14.9 KiB | 2024-10-25 19:08:15 |
| php81-bcmath-8.1.34-r1.apk | 14.9 KiB | 2026-01-14 03:13:20 |
| php82-bcmath-8.2.30-r2.apk | 15.0 KiB | 2026-01-14 03:13:20 |
| py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-10-25 19:08:18 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-10-25 19:08:19 |
| kerberoast-pyc-0.2.0-r2.apk | 15.1 KiB | 2025-05-29 11:58:13 |
| libideviceactivation-1.1.1-r5.apk | 15.1 KiB | 2024-10-30 22:44:42 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.1 KiB | 2024-10-25 19:08:19 |
| paperkey-1.6-r2.apk | 15.1 KiB | 2024-10-25 19:08:06 |
| py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-10-25 19:08:19 |
| py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-10-25 19:08:15 |
| php82-pecl-vips-1.0.13-r0.apk | 15.2 KiB | 2025-10-24 02:07:04 |
| php81-pecl-zstd-0.15.2-r0.apk | 15.2 KiB | 2025-09-09 19:23:21 |
| mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-10-25 19:07:51 |
| py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-10-25 19:08:19 |
| fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-10-25 19:07:11 |
| php82-pecl-zstd-0.15.2-r0.apk | 15.2 KiB | 2025-10-24 02:07:04 |
| mdnsd-static-0.12-r1.apk | 15.2 KiB | 2024-10-25 19:07:46 |
| perl-number-format-1.76-r1.apk | 15.2 KiB | 2024-10-25 19:08:07 |
| git-quick-stats-2.8.0-r0.apk | 15.2 KiB | 2025-09-11 08:18:33 |
| libhomfly-dev-1.02_p6-r1.apk | 15.3 KiB | 2024-10-25 19:07:33 |
| mdnsd-libs-0.12-r1.apk | 15.3 KiB | 2024-10-25 19:07:46 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-10-25 19:08:07 |
| blip-0.10-r0.apk | 15.3 KiB | 2024-10-25 19:05:59 |
| dmenu-wl-0.1-r0.apk | 15.3 KiB | 2025-07-02 10:32:47 |
| py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-10-25 19:08:19 |
| py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-10-25 19:08:15 |
| codec2-dev-1.2.0-r1.apk | 15.4 KiB | 2025-11-22 18:51:31 |
| ampy-1.1.0-r6.apk | 15.4 KiB | 2025-03-19 11:43:49 |
| kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15.4 KiB | 2024-10-25 19:07:30 |
| xva-img-1.5-r0.apk | 15.4 KiB | 2024-10-25 19:09:09 |
| perl-test-roo-doc-1.004-r3.apk | 15.4 KiB | 2024-10-25 19:08:07 |
| py3-googletrans-3.0.0-r5.apk | 15.5 KiB | 2024-10-25 19:08:15 |
| abnfgen-0.21-r0.apk | 15.5 KiB | 2025-05-27 21:41:00 |
| m2r2-pyc-0.3.4-r0.apk | 15.5 KiB | 2026-01-08 17:31:59 |
| gsimplecal-2.5.2-r0.apk | 15.5 KiB | 2025-10-16 18:48:08 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-10-25 19:08:19 |
| xvidtune-1.0.4-r0.apk | 15.5 KiB | 2024-10-25 19:09:09 |
| miracle-wm-dev-0.8.3-r0.apk | 15.5 KiB | 2025-12-31 16:43:06 |
| gssdp-dev-1.6.4-r1.apk | 15.5 KiB | 2025-08-09 00:22:03 |
| bat-extras-doc-2024.08.24-r0.apk | 15.5 KiB | 2025-10-12 20:47:05 |
| aide-doc-0.19.2-r0.apk | 15.5 KiB | 2025-09-09 13:17:22 |
| libemf2svg-utils-1.1.0-r3.apk | 15.6 KiB | 2025-10-08 10:22:43 |
| py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-10-25 19:08:15 |
| py3-simpleeval-1.0.3-r0.apk | 15.6 KiB | 2025-06-24 19:38:26 |
| py3-unicorn-hat-2.1.2-r6.apk | 15.6 KiB | 2024-11-06 09:41:46 |
| cobang-lang-2.3.1-r0.apk | 15.7 KiB | 2026-01-06 13:43:27 |
| epr-2.4.15-r1.apk | 15.7 KiB | 2024-10-25 19:07:10 |
| blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-10-25 19:05:59 |
| perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-10-25 19:08:07 |
| dvdbackup-0.4.2-r1.apk | 15.8 KiB | 2024-10-25 19:07:06 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 15.8 KiB | 2025-10-20 13:57:35 |
| zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-10-25 19:09:12 |
| zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-10-25 19:09:12 |
| hyx-2024.02.29-r0.apk | 15.8 KiB | 2024-10-25 19:07:25 |
| perl-css-inliner-4027-r0.apk | 15.8 KiB | 2025-06-20 04:10:15 |
| ol-dev-2.6-r0.apk | 15.8 KiB | 2025-05-11 11:57:51 |
| py3-kerberos-1.3.1-r5.apk | 15.9 KiB | 2024-10-25 19:08:15 |
| zita-resampler-1.11.2-r0.apk | 15.9 KiB | 2025-04-14 21:16:08 |
| py3-saltext-s3fs-pyc-1.0.0-r0.apk | 15.9 KiB | 2026-01-24 13:54:01 |
| py3-testresources-pyc-2.0.1-r6.apk | 16.0 KiB | 2024-10-25 19:08:19 |
| py3-rpio-pyc-0.10.1-r8.apk | 16.0 KiB | 2024-10-25 19:08:19 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16.0 KiB | 2025-03-10 20:53:27 |
| coccinelle-doc-1.1.1-r2.apk | 16.0 KiB | 2024-10-25 19:06:04 |
| freealut-1.1.0-r1.apk | 16.0 KiB | 2024-10-25 19:07:15 |
| baresip-dev-4.1.0-r1.apk | 16.0 KiB | 2026-01-24 12:59:20 |
| kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16.0 KiB | 2024-10-25 19:07:30 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16.0 KiB | 2025-05-11 11:57:52 |
| py3-cobs-1.2.0-r4.apk | 16.0 KiB | 2024-10-25 19:08:15 |
| wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-10-25 19:09:08 |
| please-doc-0.5.6-r0.apk | 16.1 KiB | 2025-08-29 08:10:11 |
| py3-pytest-regtest-2.3.5-r0.apk | 16.1 KiB | 2025-10-12 13:32:18 |
| predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-11-24 05:46:51 |
| fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-10-25 19:07:11 |
| py3-ciso8601-2.3.3-r0.apk | 16.1 KiB | 2025-11-10 17:32:18 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.1 KiB | 2024-10-25 19:09:04 |
| py3-markdownify-1.2.2-r0.apk | 16.2 KiB | 2025-11-27 16:00:52 |
| py3-lzo-1.16-r1.apk | 16.2 KiB | 2024-10-25 19:08:17 |
| llmnrd-0.7-r1.apk | 16.2 KiB | 2024-10-25 19:07:37 |
| py3-simber-pyc-0.2.6-r5.apk | 16.2 KiB | 2025-05-14 18:17:54 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 16.2 KiB | 2025-09-14 06:32:01 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16.2 KiB | 2024-10-25 19:08:07 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-10-25 19:08:19 |
| wf-config-dev-0.9.0-r0.apk | 16.3 KiB | 2025-02-27 20:17:05 |
| zpaq-doc-7.15-r0.apk | 16.3 KiB | 2025-10-09 03:50:53 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-10-25 19:08:18 |
| py3-saltext-s3fs-1.0.0-r0.apk | 16.3 KiB | 2026-01-24 13:54:01 |
| py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-10-25 19:08:15 |
| nim-bcrypt-dev-0.2.1-r0.apk | 16.3 KiB | 2026-01-24 13:35:19 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-10-25 19:08:15 |
| kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16.4 KiB | 2024-10-25 19:07:30 |
| rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-10-25 19:08:23 |
| php81-iconv-8.1.34-r1.apk | 16.4 KiB | 2026-01-14 03:13:20 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-10-25 19:08:14 |
| py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-10-25 19:08:15 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16.5 KiB | 2025-06-24 19:38:26 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-10-25 19:08:19 |
| py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-11-30 21:08:53 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16.5 KiB | 2025-04-13 10:33:57 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-10-25 19:08:15 |
| gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-01-08 22:36:44 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-11-30 21:08:53 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16.5 KiB | 2025-08-09 00:22:05 |
| py3-vdf-pyc-3.4-r2.apk | 16.5 KiB | 2025-10-16 22:04:15 |
| startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-10-25 19:08:50 |
| bootterm-0.5-r0.apk | 16.6 KiB | 2024-10-25 19:06:00 |
| py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-10-25 19:08:18 |
| py3-yara-4.5.4-r0.apk | 16.6 KiB | 2025-08-13 15:32:36 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 16.6 KiB | 2025-11-11 19:23:01 |
| wsmancli-2.8.0-r0.apk | 16.6 KiB | 2025-07-15 10:28:50 |
| php82-pecl-decimal-1.5.0-r1.apk | 16.6 KiB | 2025-10-24 02:07:04 |
| rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2024-10-25 19:08:25 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 16.7 KiB | 2025-07-15 10:28:49 |
| py3-trakit-pyc-0.2.5-r0.apk | 16.7 KiB | 2025-11-24 00:03:15 |
| py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-10-25 19:08:19 |
| hping3-doc-20051105-r4.apk | 16.7 KiB | 2024-10-25 19:07:24 |
| php81-pecl-yaml-2.3.0-r0.apk | 16.7 KiB | 2025-11-17 15:33:27 |
| kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 16.7 KiB | 2024-10-25 19:07:30 |
| perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-10-25 19:08:07 |
| junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-10-25 19:07:27 |
| py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-10-25 19:08:18 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-10-25 19:08:15 |
| hexedit-1.6_git20230905-r0.apk | 16.8 KiB | 2024-10-25 19:07:24 |
| php81-pecl-decimal-1.5.0-r1.apk | 16.8 KiB | 2024-10-25 19:08:07 |
| py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-10-25 19:08:18 |
| repo-2.60-r0.apk | 16.8 KiB | 2025-12-12 19:49:30 |
| libmrss-0.19.2-r1.apk | 16.8 KiB | 2024-10-25 19:07:34 |
| php82-iconv-8.2.30-r2.apk | 16.8 KiB | 2026-01-14 03:13:20 |
| php82-pecl-yaml-2.3.0-r0.apk | 16.9 KiB | 2025-11-17 15:33:27 |
| arc-metacity-20221218-r1.apk | 16.9 KiB | 2026-01-21 19:05:21 |
| py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-12-16 11:36:16 |
| git-bug-doc-0.8.1-r7.apk | 16.9 KiB | 2026-01-19 00:22:19 |
| gammastep-pyc-2.0.9-r3.apk | 16.9 KiB | 2024-10-25 19:07:15 |
| arc-lighter-metacity-20221218-r1.apk | 16.9 KiB | 2026-01-21 19:05:21 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 16.9 KiB | 2025-09-27 21:20:19 |
| lomiri-download-manager-dev-0.3.0-r0.apk | 16.9 KiB | 2025-12-10 17:06:24 |
| php82-pecl-psr-1.2.0-r1.apk | 16.9 KiB | 2025-10-24 02:07:04 |
| droidcam-2.1.3-r3.apk | 17.0 KiB | 2025-09-27 13:40:39 |
| boost1.89-type_erasure-1.89.0-r1.apk | 17.0 KiB | 2026-01-19 00:22:15 |
| gtklock-4.0.0-r0.apk | 17.0 KiB | 2025-02-01 17:06:23 |
| py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-10-25 19:08:15 |
| git-secret-doc-0.5.0-r0.apk | 17.1 KiB | 2024-10-25 19:07:16 |
| perl-html-formatexternal-26-r0.apk | 17.1 KiB | 2025-06-07 14:55:10 |
| arc-dark-metacity-20221218-r1.apk | 17.1 KiB | 2026-01-21 19:05:21 |
| arc-darker-metacity-20221218-r1.apk | 17.1 KiB | 2026-01-21 19:05:21 |
| py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-10-25 19:08:15 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 17.2 KiB | 2025-07-15 10:28:49 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17.2 KiB | 2025-07-15 10:28:49 |
| xa-doc-2.4.1-r0.apk | 17.2 KiB | 2025-02-25 12:36:39 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-10-25 19:08:18 |
| twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-10-25 19:09:04 |
| libcyaml-1.4.2-r0.apk | 17.2 KiB | 2024-10-25 19:07:32 |
| hiawatha-letsencrypt-11.6-r1.apk | 17.2 KiB | 2025-05-27 11:06:54 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17.3 KiB | 2025-07-01 18:10:43 |
| py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-10-25 19:08:14 |
| yamkix-0.14.0-r0.apk | 17.3 KiB | 2025-12-22 19:55:21 |
| php81-pecl-psr-1.2.0-r0.apk | 17.3 KiB | 2024-10-25 19:08:08 |
| py3-testresources-2.0.1-r6.apk | 17.3 KiB | 2024-10-25 19:08:19 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17.4 KiB | 2025-11-03 06:49:14 |
| libnxml-0.18.3-r0.apk | 17.4 KiB | 2024-10-25 19:07:34 |
| gpscorrelate-lang-2.3-r0.apk | 17.4 KiB | 2025-03-27 06:36:12 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-10-25 19:08:18 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17.4 KiB | 2024-10-25 19:08:15 |
| cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-10-25 19:06:06 |
| libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-10-25 19:07:33 |
| kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17.5 KiB | 2024-10-25 19:07:30 |
| py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-10-25 19:08:19 |
| octoprint-firmwarecheck-pyc-2025.7.23-r0.apk | 17.5 KiB | 2026-01-04 14:27:31 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-10-25 19:08:19 |
| libeantic-dev-2.1.0-r2.apk | 17.5 KiB | 2025-11-26 08:23:40 |
| perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-12-30 06:37:11 |
| openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-10-25 19:08:03 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 17.6 KiB | 2024-10-25 19:07:19 |
| perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-10-25 19:08:07 |
| perl-autobox-3.0.2-r1.apk | 17.7 KiB | 2025-07-01 18:10:43 |
| surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-10-25 19:08:52 |
| remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-10-25 19:08:23 |
| xkb-switch-1.8.5-r1.apk | 17.7 KiB | 2025-05-14 18:17:54 |
| atool-0.39.0-r4.apk | 17.7 KiB | 2024-10-25 19:05:58 |
| php81-pdo_pgsql-8.1.34-r1.apk | 17.7 KiB | 2026-01-14 03:13:20 |
| php82-pdo_pgsql-8.2.30-r2.apk | 17.7 KiB | 2026-01-14 03:13:20 |
| pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-10-25 19:08:06 |
| xsecurelock-doc-1.9.0-r1.apk | 17.8 KiB | 2024-10-25 19:09:09 |
| py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-10-25 19:08:15 |
| php81-tidy-8.1.34-r1.apk | 17.8 KiB | 2026-01-14 03:13:20 |
| siril-doc-1.2.6-r5.apk | 17.8 KiB | 2025-09-27 21:20:19 |
| php81-xml-8.1.34-r1.apk | 17.8 KiB | 2026-01-14 03:13:20 |
| rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-10-25 19:08:24 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 17.8 KiB | 2025-09-09 13:17:33 |
| py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-10-25 19:08:15 |
| libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-10-25 19:07:33 |
| php82-xml-8.2.30-r2.apk | 17.9 KiB | 2026-01-14 03:13:20 |
| zycore-1.5.0-r1.apk | 17.9 KiB | 2025-07-15 10:28:50 |
| licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-10-25 19:07:35 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 17.9 KiB | 2025-06-11 16:35:26 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-10-25 19:08:19 |
| hatop-0.8.2-r0.apk | 18.0 KiB | 2024-10-25 19:07:23 |
| circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-10-25 19:06:03 |
| newsyslog-1.2.0.91-r1.apk | 18.0 KiB | 2024-10-25 19:07:52 |
| anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-10-25 19:05:54 |
| readosm-dev-1.1.0-r3.apk | 18.0 KiB | 2025-05-12 21:20:42 |
| py3-flake8-isort-7.0.0-r0.apk | 18.0 KiB | 2025-10-25 20:12:23 |
| py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-10-25 19:08:18 |
| perl-http-headers-actionpack-0.09-r0.apk | 18.0 KiB | 2025-06-13 18:17:29 |
| perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-12-30 06:37:11 |
| shc-4.0.3-r2.apk | 18.0 KiB | 2024-10-25 19:08:46 |
| py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-10-25 19:08:15 |
| py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-12-12 06:32:04 |
| mobpass-0.2-r6.apk | 18.0 KiB | 2024-10-25 19:07:49 |
| diceware-pyc-1.0.1-r0.apk | 18.1 KiB | 2025-01-13 22:49:51 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-10-25 19:08:19 |
| libecap-static-1.0.1-r1.apk | 18.1 KiB | 2024-10-25 19:07:33 |
| isomd5sum-1.2.5-r0.apk | 18.2 KiB | 2025-07-15 10:28:49 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 18.2 KiB | 2025-09-27 21:20:19 |
| budgie-desktop-dev-10.9.2-r0.apk | 18.2 KiB | 2025-10-16 17:07:38 |
| sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-10-25 19:08:50 |
| py3-markdownify-pyc-1.2.2-r0.apk | 18.2 KiB | 2025-11-27 16:00:52 |
| ldapdomaindump-0.10.0-r0.apk | 18.2 KiB | 2025-07-30 19:18:50 |
| py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-10-25 19:08:15 |
| mbrola-3.3-r0.apk | 18.3 KiB | 2024-10-25 19:07:46 |
| bestline-0.0_git20211108-r0.apk | 18.3 KiB | 2024-10-25 19:05:59 |
| liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-10-25 19:07:33 |
| py3-wbdata-1.1.0-r0.apk | 18.3 KiB | 2026-01-19 00:22:29 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-10-25 19:08:15 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18.3 KiB | 2025-06-10 09:39:22 |
| pure-1.23.0-r0.apk | 18.3 KiB | 2025-10-20 13:57:35 |
| optee-client-3.20.0-r0.apk | 18.3 KiB | 2024-10-25 19:08:04 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18.3 KiB | 2025-08-16 14:16:11 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-10-25 19:08:14 |
| py3-flask-socketio-5.5.1-r0.apk | 18.3 KiB | 2025-09-09 13:17:34 |
| wayqt-dev-0.3.0-r1.apk | 18.4 KiB | 2025-08-21 07:56:20 |
| py3-msldap-examples-0.5.15-r2.apk | 18.4 KiB | 2025-10-17 14:35:36 |
| php82-tidy-8.2.30-r2.apk | 18.4 KiB | 2026-01-14 03:13:20 |
| cowsay-3.04-r2.apk | 18.4 KiB | 2024-10-25 19:06:05 |
| speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-10-25 19:08:48 |
| visidata-doc-3.3-r0.apk | 18.4 KiB | 2025-09-16 04:50:29 |
| linuxptp-tz2alt-4.4-r0.apk | 18.4 KiB | 2024-11-20 02:43:39 |
| licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-10-25 19:07:35 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18.4 KiB | 2025-07-15 10:28:49 |
| perl-net-patricia-1.24-r0.apk | 18.4 KiB | 2025-11-20 22:09:06 |
| ssdfs-tools-dev-4.09-r0.apk | 18.5 KiB | 2024-10-25 19:08:49 |
| perl-b-utils-0.27-r1.apk | 18.5 KiB | 2025-07-01 18:10:43 |
| py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-10-25 19:08:15 |
| php83-pecl-excimer-1.2.5-r0.apk | 18.5 KiB | 2025-05-20 15:41:57 |
| py3-spin-0.8-r0.apk | 18.5 KiB | 2024-10-25 19:08:19 |
| php82-pecl-excimer-1.2.5-r0.apk | 18.5 KiB | 2025-05-20 15:41:57 |
| gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-01-08 22:36:44 |
| identities-0.2.3-r0.apk | 18.6 KiB | 2026-01-03 16:23:18 |
| cdba-server-1.0-r2.apk | 18.6 KiB | 2024-10-25 19:06:00 |
| dulcepan-1.0.2-r0.apk | 18.6 KiB | 2024-10-25 19:07:06 |
| py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-11-13 06:28:59 |
| circuslinux-1.0.3-r1.apk | 18.6 KiB | 2024-10-25 19:06:03 |
| py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-10-25 19:08:19 |
| minimodem-0.24-r1.apk | 18.7 KiB | 2024-10-25 19:07:47 |
| py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-10-25 19:08:15 |
| libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-10-25 19:07:34 |
| lomiri-indicator-location-25.4.22-r1.apk | 18.7 KiB | 2025-11-17 15:33:23 |
| py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-10-25 19:08:15 |
| py3-enzyme-pyc-0.5.2-r0.apk | 18.8 KiB | 2025-07-15 10:28:49 |
| py3-evohome-client-0.3.9-r0.apk | 18.8 KiB | 2025-07-15 10:28:49 |
| py3-agithub-2.2.2-r7.apk | 18.8 KiB | 2025-03-19 11:44:17 |
| py3-logtop-0.7-r1.apk | 18.8 KiB | 2025-08-09 00:22:05 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-11-04 12:28:06 |
| aoetools-37-r2.apk | 18.9 KiB | 2025-01-14 13:44:18 |
| rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-10-25 19:08:24 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 18.9 KiB | 2025-07-15 10:28:49 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 19.0 KiB | 2024-12-10 19:01:57 |
| razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-10-25 19:08:22 |
| moe-doc-1.14-r0.apk | 19.0 KiB | 2024-10-25 19:07:49 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 19.0 KiB | 2025-10-24 02:07:04 |
| snapraid-doc-13.0-r0.apk | 19.0 KiB | 2025-10-31 21:40:36 |
| powerstat-0.04.01-r0.apk | 19.1 KiB | 2024-10-25 19:08:12 |
| py3-pathvalidate-3.3.1-r0.apk | 19.1 KiB | 2025-07-15 10:28:49 |
| musikcube-dev-3.0.5-r0.apk | 19.1 KiB | 2025-09-27 21:20:19 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-10-25 19:07:38 |
| php81-sqlite3-8.1.34-r1.apk | 19.1 KiB | 2026-01-14 03:13:20 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 19.1 KiB | 2025-03-19 11:43:55 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 19.1 KiB | 2025-06-11 16:35:26 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-10-25 19:08:14 |
| php81-snmp-8.1.34-r1.apk | 19.2 KiB | 2026-01-14 03:13:20 |
| adjtimex-1.29-r0.apk | 19.2 KiB | 2024-10-25 19:05:52 |
| onioncat-doc-4.11.0-r1.apk | 19.2 KiB | 2026-01-09 16:41:23 |
| py3-trakit-0.2.5-r0.apk | 19.2 KiB | 2025-11-24 00:03:15 |
| rizin-doc-0.8.1-r0.apk | 19.3 KiB | 2025-07-01 18:10:44 |
| xdg-native-messaging-proxy-0.1.0-r0.apk | 19.3 KiB | 2025-11-27 14:19:39 |
| sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-10-25 19:08:45 |
| shellinabox-doc-2.21-r3.apk | 19.4 KiB | 2024-10-25 19:08:46 |
| kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19.4 KiB | 2024-10-25 19:07:30 |
| py3-schema-0.7.7-r0.apk | 19.4 KiB | 2025-07-07 16:07:59 |
| bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-10-25 19:06:00 |
| ampy-pyc-1.1.0-r6.apk | 19.4 KiB | 2025-03-19 11:43:49 |
| pam-krb5-4.11-r1.apk | 19.5 KiB | 2024-10-25 19:08:06 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-10-25 19:08:18 |
| php81-gmp-8.1.34-r1.apk | 19.6 KiB | 2026-01-14 03:13:20 |
| libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-10-25 19:07:34 |
| lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-10-25 19:07:38 |
| imrsh-dbg-0_git20210320-r1.apk | 19.6 KiB | 2024-10-25 19:07:25 |
| py3-py-radix-0.10.0-r11.apk | 19.6 KiB | 2025-12-30 21:01:16 |
| hyfetch-doc-2.0.5-r0.apk | 19.6 KiB | 2025-11-21 18:05:51 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-10-25 19:07:33 |
| py3-wbdata-pyc-1.1.0-r0.apk | 19.6 KiB | 2026-01-19 00:22:29 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 19.7 KiB | 2024-10-25 19:08:15 |
| pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-10-25 19:08:11 |
| kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 19.7 KiB | 2024-10-25 19:07:30 |
| py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-10-25 19:08:15 |
| perl-test-trap-0.3.5-r1.apk | 19.7 KiB | 2024-10-25 19:08:07 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 19.8 KiB | 2024-10-25 19:08:15 |
| php82-snmp-8.2.30-r2.apk | 19.8 KiB | 2026-01-14 03:13:20 |
| tncattach-0.1.9-r1.apk | 19.8 KiB | 2024-10-25 19:08:55 |
| kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk | 19.8 KiB | 2024-10-25 19:07:30 |
| perl-ffi-c-0.15-r0.apk | 19.8 KiB | 2024-10-25 19:08:07 |
| perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-10-25 19:08:07 |
| vim-rust-305-r1.apk | 19.9 KiB | 2025-08-21 07:57:36 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 19.9 KiB | 2025-03-19 11:44:15 |
| apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-10-25 19:05:54 |
| py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-10-25 19:08:15 |
| xfce4-panel-profiles-doc-1.1.1-r1.apk | 20.0 KiB | 2025-11-24 12:53:30 |
| libcyaml-static-1.4.2-r0.apk | 20.0 KiB | 2024-10-25 19:07:32 |
| libserialport-0.1.1-r1.apk | 20.0 KiB | 2024-10-25 19:07:35 |
| portsmf-dev-239-r2.apk | 20.0 KiB | 2025-10-13 20:02:16 |
| ydcv-0.7-r8.apk | 20.0 KiB | 2024-10-25 19:09:10 |
| lomiri-app-launch-dev-0.1.12-r5.apk | 20.1 KiB | 2025-12-18 14:17:18 |
| ddgr-2.2-r0.apk | 20.1 KiB | 2024-10-25 19:06:14 |
| py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-10-25 19:08:18 |
| ruby-minitest-reporters-1.7.1-r0.apk | 20.1 KiB | 2025-07-06 18:16:51 |
| php82-gmp-8.2.30-r2.apk | 20.1 KiB | 2026-01-14 03:13:20 |
| py3-senf-1.5.0-r0.apk | 20.2 KiB | 2025-06-27 02:58:51 |
| yamkix-pyc-0.14.0-r0.apk | 20.2 KiB | 2025-12-22 19:55:21 |
| surf-2.1-r3.apk | 20.2 KiB | 2024-10-25 19:08:52 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 20.2 KiB | 2025-11-03 16:12:02 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-10-25 19:08:07 |
| php81-pecl-ast-1.1.3-r0.apk | 20.2 KiB | 2025-08-10 17:43:29 |
| iprange-1.0.4-r1.apk | 20.2 KiB | 2024-10-25 19:07:25 |
| kcbench-doc-0.9.14-r0.apk | 20.2 KiB | 2026-01-07 16:36:33 |
| zarchive-libs-0.1.2-r2.apk | 20.3 KiB | 2024-10-25 19:09:11 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.3 KiB | 2024-10-25 19:08:15 |
| thunar-gtkhash-plugin-1.5-r0.apk | 20.3 KiB | 2024-10-25 19:08:55 |
| perl-web-machine-0.17-r0.apk | 20.3 KiB | 2025-06-13 18:17:29 |
| php81-pecl-mailparse-3.1.9-r0.apk | 20.3 KiB | 2025-09-30 12:38:45 |
| lbb-doc-0.10.4-r2.apk | 20.3 KiB | 2026-01-19 00:22:25 |
| ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-10-25 19:08:14 |
| fusee-nano-0.5.3-r1.apk | 20.3 KiB | 2024-10-25 19:07:15 |
| perl-expect-doc-1.38-r0.apk | 20.3 KiB | 2025-04-19 19:01:28 |
| php82-pecl-mailparse-3.1.9-r0.apk | 20.3 KiB | 2025-10-24 02:07:04 |
| py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-10-25 19:08:15 |
| php81-dba-8.1.34-r1.apk | 20.3 KiB | 2026-01-14 03:13:20 |
| py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-10-25 19:08:18 |
| php82-sqlite3-8.2.30-r2.apk | 20.4 KiB | 2026-01-14 03:13:20 |
| bindfs-1.17.7-r1.apk | 20.4 KiB | 2025-06-20 04:10:04 |
| php82-pecl-ast-1.1.3-r0.apk | 20.4 KiB | 2025-10-24 02:07:04 |
| libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-10-25 19:07:33 |
| py3-pygelbooru-1.0.0-r0.apk | 20.4 KiB | 2025-07-30 04:56:00 |
| i2util-4.2.1-r1.apk | 20.4 KiB | 2024-10-25 19:07:25 |
| sqm-scripts-1.6.0-r0.apk | 20.4 KiB | 2024-10-25 19:08:49 |
| py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-10-25 19:08:18 |
| nemo-gtkhash-plugin-1.5-r0.apk | 20.5 KiB | 2024-10-25 19:07:52 |
| tanidvr-1.4.1-r2.apk | 20.5 KiB | 2025-03-21 11:52:27 |
| xmp-4.2.0-r0.apk | 20.5 KiB | 2024-10-25 19:09:09 |
| nmap-parse-output-1.5.1-r1.apk | 20.5 KiB | 2025-05-29 11:58:13 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.5 KiB | 2024-10-25 19:08:19 |
| eiwd-doc-3.10-r0.apk | 20.5 KiB | 2025-10-08 10:22:43 |
| py3-schema-pyc-0.7.7-r0.apk | 20.5 KiB | 2025-07-07 16:07:59 |
| mcjoin-2.11-r0.apk | 20.5 KiB | 2024-10-25 19:07:46 |
| py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-10-25 19:08:19 |
| tang-doc-15-r0.apk | 20.6 KiB | 2025-01-25 07:04:20 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20.6 KiB | 2025-07-25 23:41:46 |
| htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-10-25 19:07:24 |
| libgrapheme-doc-2.0.2-r0.apk | 20.7 KiB | 2025-07-24 13:42:49 |
| perl-flowd-0.9.1-r11.apk | 20.7 KiB | 2025-07-01 18:10:43 |
| detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-10-25 19:06:14 |
| py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-10-25 19:08:14 |
| ffmpeg4-libpostproc-4.4.6-r0.apk | 20.7 KiB | 2026-01-04 01:24:33 |
| ip2location-8.6.1-r0.apk | 20.8 KiB | 2024-10-25 19:07:25 |
| py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-10-25 19:08:14 |
| php81-simplexml-8.1.34-r1.apk | 20.8 KiB | 2026-01-14 03:13:20 |
| bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-10-25 19:06:00 |
| cups-pdf-3.0.2-r0.apk | 20.9 KiB | 2025-07-04 21:11:42 |
| nitro-init-0.7-r0.apk | 20.9 KiB | 2026-01-19 00:22:26 |
| tup-doc-0.7.11-r1.apk | 20.9 KiB | 2025-06-20 04:10:16 |
| py3-firmata-pyc-1.0.3-r10.apk | 20.9 KiB | 2024-10-25 19:08:15 |
| kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk | 20.9 KiB | 2024-10-25 19:07:30 |
| php82-simplexml-8.2.30-r2.apk | 20.9 KiB | 2026-01-14 03:13:20 |
| py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-10-25 19:08:19 |
| php82-dba-8.2.30-r2.apk | 20.9 KiB | 2026-01-14 03:13:20 |
| libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-10-25 19:07:33 |
| py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-12-01 02:04:47 |
| hiawatha-doc-11.6-r1.apk | 21.0 KiB | 2025-05-27 11:06:54 |
| lrcalc-libs-2.1-r1.apk | 21.0 KiB | 2024-10-25 19:07:38 |
| zvbi-doc-0.2.44-r0.apk | 21.0 KiB | 2025-03-11 20:40:03 |
| freealut-dev-1.1.0-r1.apk | 21.1 KiB | 2024-10-25 19:07:15 |
| py3-r2pipe-pyc-5.9.0-r0.apk | 21.1 KiB | 2025-12-29 21:20:21 |
| py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-10-25 19:08:18 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-10-25 19:08:07 |
| cliquer-libs-1.23-r0.apk | 21.2 KiB | 2025-08-12 04:15:21 |
| lua5.1-lcurses-9.0.0-r0.apk | 21.2 KiB | 2024-10-25 19:07:38 |
| ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-10-25 19:09:04 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk | 21.3 KiB | 2024-10-25 19:07:54 |
| lua5.2-xml-1.1.3-r2.apk | 21.3 KiB | 2024-10-25 19:07:38 |
| ruff-lsp-0.0.62-r0.apk | 21.3 KiB | 2025-03-10 20:53:27 |
| py3-agithub-pyc-2.2.2-r7.apk | 21.3 KiB | 2025-03-19 11:44:17 |
| py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-10-25 19:08:15 |
| mdnsd-0.12-r1.apk | 21.3 KiB | 2024-10-25 19:07:46 |
| py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-10-25 19:08:18 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk | 21.4 KiB | 2024-10-25 19:08:19 |
| perl-conf-libconfig-1.0.3-r2.apk | 21.4 KiB | 2025-07-01 18:10:43 |
| lua5.1-xml-1.1.3-r2.apk | 21.4 KiB | 2024-10-25 19:07:38 |
| horizon-doc-0.9.6-r9.apk | 21.5 KiB | 2024-10-25 19:07:24 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21.5 KiB | 2025-11-09 18:52:52 |
| py3-actdiag-pyc-3.0.0-r5.apk | 21.5 KiB | 2024-10-25 19:08:14 |
| varnish-modules-doc-0.26.0-r0.apk | 21.5 KiB | 2025-07-06 18:16:51 |
| msgpuck-dev-2.0-r1.apk | 21.5 KiB | 2024-10-25 19:07:51 |
| py3-mando-0.8.2-r0.apk | 21.6 KiB | 2025-12-18 11:02:53 |
| lkrg-doc-0.9.6-r1.apk | 21.6 KiB | 2025-01-09 11:44:48 |
| starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-10-25 19:08:50 |
| ansifilter-doc-2.22-r0.apk | 21.6 KiB | 2026-01-19 17:09:51 |
| fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-10-25 19:07:15 |
| py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-10-25 19:08:18 |
| py3-virtualenvwrapper-6.1.1-r1.apk | 21.6 KiB | 2025-12-18 11:02:53 |
| wiremapper-0.10.0-r0.apk | 21.7 KiB | 2024-10-25 19:09:07 |
| php81-ftp-8.1.34-r1.apk | 21.7 KiB | 2026-01-14 03:13:20 |
| perl-xml-xpathengine-0.14-r0.apk | 21.7 KiB | 2025-07-08 16:54:08 |
| py3-aiowinreg-0.0.13-r0.apk | 21.7 KiB | 2025-11-03 06:49:14 |
| py3-geoip-1.3.2-r4.apk | 21.7 KiB | 2024-10-25 19:08:15 |
| perl-alien-base-modulebuild-1.17-r0.apk | 21.7 KiB | 2025-06-08 16:07:13 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 21.8 KiB | 2024-10-25 19:08:18 |
| py3-r2pipe-doc-5.9.0-r0.apk | 21.8 KiB | 2025-12-29 21:20:21 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 21.9 KiB | 2025-09-27 21:20:19 |
| py3-pyroma-4.2-r0.apk | 21.9 KiB | 2024-10-25 19:08:18 |
| php82-ftp-8.2.30-r2.apk | 21.9 KiB | 2026-01-14 03:13:20 |
| jgmenu-doc-4.5.0-r0.apk | 21.9 KiB | 2026-01-10 18:07:10 |
| py3-wgconfig-1.1.0-r0.apk | 22.0 KiB | 2025-01-29 06:49:27 |
| tree-sitter-hcl-1.2.0-r0.apk | 22.0 KiB | 2025-06-20 04:10:16 |
| gpscorrelate-cli-2.3-r0.apk | 22.0 KiB | 2025-03-27 06:36:12 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 22.1 KiB | 2025-03-19 11:44:15 |
| php81-odbc-8.1.34-r1.apk | 22.1 KiB | 2026-01-14 03:13:20 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-10-25 19:08:19 |
| ruby-fast_gettext-3.1.0-r0.apk | 22.1 KiB | 2025-05-25 23:58:20 |
| timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-10-25 19:08:55 |
| libgrapheme-2.0.2-r0.apk | 22.2 KiB | 2025-07-24 13:42:49 |
| tre-0.8.0-r2.apk | 22.2 KiB | 2024-10-25 19:08:56 |
| py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-10-25 19:08:15 |
| py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-10-25 19:08:15 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-10-25 19:08:42 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22.3 KiB | 2024-10-25 19:08:15 |
| policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-10-25 19:08:11 |
| caja-gtkhash-plugin-1.5-r0.apk | 22.3 KiB | 2024-10-25 19:06:00 |
| libtsm-4.3.0-r0.apk | 22.3 KiB | 2026-01-09 00:35:55 |
| wol-0.7.1-r3.apk | 22.4 KiB | 2024-10-25 19:09:08 |
| swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-12-21 10:08:18 |
| knxd-dev-0.14.61-r1.apk | 22.5 KiB | 2024-12-14 19:23:33 |
| py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-10-25 19:08:18 |
| tree-sitter-clojure-0.0.13-r0.apk | 22.5 KiB | 2025-07-24 13:42:52 |
| py3-remind-pyc-0.19.2-r0.apk | 22.5 KiB | 2025-04-22 21:24:52 |
| contractor-0.3.5-r0.apk | 22.6 KiB | 2024-11-12 21:54:02 |
| py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-11-11 21:46:17 |
| kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 22.7 KiB | 2024-10-25 19:07:30 |
| py3-enzyme-0.5.2-r0.apk | 22.7 KiB | 2025-07-15 10:28:49 |
| py3-pysrt-pyc-1.1.2-r5.apk | 22.7 KiB | 2025-05-14 18:17:54 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 22.8 KiB | 2025-09-09 13:17:34 |
| libmysofa-1.3.2-r0.apk | 22.8 KiB | 2024-10-25 19:07:34 |
| dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-12-07 20:22:46 |
| autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-10-25 19:05:58 |
| gtk-session-lock-0.2.0-r0.apk | 22.8 KiB | 2025-02-01 17:06:23 |
| php82-odbc-8.2.30-r2.apk | 22.9 KiB | 2026-01-14 03:13:20 |
| libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-10-25 19:07:35 |
| perl-html-formatexternal-doc-26-r0.apk | 23.0 KiB | 2025-06-07 14:55:10 |
| nim-nmqtt-1.0.7-r0.apk | 23.0 KiB | 2026-01-24 13:35:19 |
| py3-flask-socketio-doc-5.5.1-r0.apk | 23.0 KiB | 2025-09-09 13:17:34 |
| py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-10-25 19:08:18 |
| py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-10-25 19:08:19 |
| py3-cstruct-6.1-r0.apk | 23.2 KiB | 2025-08-21 23:06:56 |
| clevis-doc-21-r0.apk | 23.2 KiB | 2025-01-25 07:03:41 |
| kodaskanna-lang-0.2.2-r0.apk | 23.2 KiB | 2025-01-25 07:04:05 |
| perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-10-25 19:08:07 |
| pfetch-1.9.4-r0.apk | 23.3 KiB | 2025-10-22 14:01:34 |
| py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-10-25 19:08:15 |
| boost1.89-process-1.89.0-r1.apk | 23.4 KiB | 2026-01-19 00:22:15 |
| buildbot-console-view-4.3.0-r1.apk | 23.4 KiB | 2026-01-23 15:51:28 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-10-25 19:08:15 |
| tre-static-0.8.0-r2.apk | 23.4 KiB | 2024-10-25 19:08:56 |
| py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-11-13 18:52:20 |
| admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-10-25 19:05:52 |
| curlftpfs-0.9.2-r3.apk | 23.4 KiB | 2024-10-25 19:06:06 |
| pmccabe-2.8-r1.apk | 23.5 KiB | 2024-10-25 19:08:11 |
| cliquer-static-1.23-r0.apk | 23.5 KiB | 2025-08-12 04:15:21 |
| shipments-0.3.0-r0.apk | 23.5 KiB | 2024-10-25 19:08:46 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-10-28 21:51:26 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.5 KiB | 2025-03-15 14:08:39 |
| rvlprog-0.91-r2.apk | 23.6 KiB | 2024-10-25 19:08:25 |
| py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-10-25 19:08:19 |
| tnef-1.4.18-r0.apk | 23.6 KiB | 2024-10-25 19:08:55 |
| junit2html-pyc-31.0.2-r0.apk | 23.6 KiB | 2024-10-25 19:07:27 |
| pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-10-25 19:08:06 |
| py3-ffmpeg-0.2.0-r5.apk | 23.6 KiB | 2025-05-14 18:17:54 |
| makedumpfile-doc-1.7.8-r0.apk | 23.7 KiB | 2025-11-05 14:24:57 |
| admesh-0.98.5-r0.apk | 23.7 KiB | 2024-10-25 19:05:52 |
| py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 23.7 KiB | 2026-01-09 18:55:18 |
| antimicrox-doc-3.5.1-r0.apk | 23.8 KiB | 2025-06-13 14:11:53 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-10-25 19:08:19 |
| zita-njbridge-0.4.8-r1.apk | 23.9 KiB | 2024-10-25 19:09:12 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-10-25 19:08:14 |
| newsyslog-doc-1.2.0.91-r1.apk | 24.0 KiB | 2024-10-25 19:07:52 |
| sipgrep-2.2.0-r1.apk | 24.0 KiB | 2024-10-25 19:08:47 |
| malcontent-dev-0.13.1-r0.apk | 24.0 KiB | 2025-09-09 13:17:32 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-10-25 19:08:19 |
| cliquer-tests-1.23-r0.apk | 24.0 KiB | 2025-08-12 04:15:21 |
| rdrview-0.1.3-r0.apk | 24.0 KiB | 2025-02-22 19:22:24 |
| mame-doc-0.251-r0.apk | 24.1 KiB | 2024-10-25 19:07:43 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-10-25 19:08:15 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 24.2 KiB | 2025-05-11 11:57:51 |
| vidcutter-doc-6.0.5.3-r0.apk | 24.2 KiB | 2024-10-25 19:09:06 |
| ntpd-rs-doc-1.6.2-r2.apk | 24.2 KiB | 2025-12-18 17:57:22 |
| git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-10-25 19:07:16 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24.3 KiB | 2025-08-09 00:22:03 |
| libcli-1.10.7-r0.apk | 24.3 KiB | 2024-10-25 19:07:32 |
| fatback-1.3-r2.apk | 24.3 KiB | 2024-10-25 19:07:11 |
| dwl-0.7-r0.apk | 24.4 KiB | 2024-10-25 19:07:06 |
| epr-pyc-2.4.15-r1.apk | 24.4 KiB | 2024-10-25 19:07:10 |
| py3-remind-0.19.2-r0.apk | 24.4 KiB | 2025-04-22 21:24:52 |
| libirecovery-1.3.0-r0.apk | 24.5 KiB | 2025-10-08 10:22:43 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 24.5 KiB | 2025-09-27 21:20:19 |
| ttfautohint-1.8.4-r0.apk | 24.5 KiB | 2024-10-25 19:09:04 |
| py3-queuelib-pyc-1.8.0-r0.apk | 24.5 KiB | 2025-09-01 18:13:45 |
| xed-python-3.8.4-r0.apk | 24.5 KiB | 2025-10-23 07:55:24 |
| py3-spin-pyc-0.8-r0.apk | 24.5 KiB | 2024-10-25 19:08:19 |
| font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-10-25 19:07:13 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-10-25 19:08:18 |
| py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-10-25 19:08:15 |
| ptouch-print-1.7-r0.apk | 24.5 KiB | 2025-09-13 13:05:44 |
| nfcd-dev-1.2.4-r0.apk | 24.5 KiB | 2025-12-31 16:43:06 |
| php81-zip-8.1.34-r1.apk | 24.5 KiB | 2026-01-14 03:13:20 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-10-25 19:08:18 |
| pnmixer-lang-0.7.2-r4.apk | 24.6 KiB | 2026-01-19 00:22:28 |
| py3-librtmp-pyc-0.3.0-r6.apk | 24.6 KiB | 2024-10-25 19:08:15 |
| libcec-rpi-dev-6.0.2-r4.apk | 24.6 KiB | 2024-10-25 19:07:32 |
| py3-iniparse-pyc-0.5-r7.apk | 24.6 KiB | 2024-10-25 19:08:15 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-10-25 19:08:19 |
| perl-email-sender-2.601-r0.apk | 24.7 KiB | 2025-04-04 09:42:55 |
| py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-10-25 19:08:19 |
| gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-10-25 19:07:17 |
| py3-qt.py-pyc-1.3.10-r1.apk | 24.7 KiB | 2024-10-25 19:08:19 |
| perl-moosex-getopt-doc-0.78-r0.apk | 24.7 KiB | 2025-03-16 04:03:23 |
| evolution-etesync-lang-1.1.2-r0.apk | 24.7 KiB | 2026-01-11 17:43:04 |
| py3-mopidy-tidal-0.3.2-r6.apk | 24.7 KiB | 2024-10-25 19:08:18 |
| py3-dominate-2.9.1-r1.apk | 24.8 KiB | 2024-10-25 19:08:15 |
| py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-10-25 19:08:18 |
| vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-10-25 19:09:06 |
| smplxmpp-doc-0.9.3-r5.apk | 24.8 KiB | 2025-10-12 12:12:58 |
| py3-libacl-0.7.3-r0.apk | 24.8 KiB | 2025-10-16 22:04:15 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 24.9 KiB | 2025-07-06 18:16:51 |
| a2jmidid-9-r3.apk | 24.9 KiB | 2024-10-25 19:05:52 |
| hilbish-doc-2.3.4-r11.apk | 24.9 KiB | 2026-01-19 00:22:21 |
| py3-unidns-pyc-0.0.4-r0.apk | 25.0 KiB | 2025-11-03 06:49:14 |
| libglib-testing-doc-0.1.1-r0.apk | 25.0 KiB | 2025-05-11 11:57:48 |
| olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-10-25 19:08:02 |
| lshell-doc-0.9.18-r12.apk | 25.1 KiB | 2025-09-09 13:17:31 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 25.1 KiB | 2025-04-04 11:56:57 |
| php81-pecl-msgpack-3.0.0-r0.apk | 25.1 KiB | 2024-10-25 19:08:08 |
| xpar-0.7-r0.apk | 25.1 KiB | 2025-09-27 14:33:41 |
| php81-common-8.1.34-r1.apk | 25.2 KiB | 2026-01-14 03:13:20 |
| php82-pecl-msgpack-3.0.0-r0.apk | 25.2 KiB | 2025-10-24 02:07:04 |
| qoiconv-0.0.0_git20230312-r0.apk | 25.2 KiB | 2024-10-25 19:08:21 |
| opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-10-25 19:08:04 |
| thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-10-25 19:08:55 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 25.2 KiB | 2024-10-25 19:08:18 |
| p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-10-25 19:08:06 |
| snapper-doc-0.13.0-r0.apk | 25.3 KiB | 2025-09-19 14:53:45 |
| php82-common-8.2.30-r2.apk | 25.3 KiB | 2026-01-14 03:13:20 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-11-29 22:03:58 |
| fast-double-parser-0.8.1-r0.apk | 25.3 KiB | 2025-10-20 10:32:20 |
| fakeroot-tcp-1.32.1-r1.apk | 25.4 KiB | 2024-10-25 19:07:11 |
| perl-catalyst-action-rest-1.21-r0.apk | 25.4 KiB | 2025-03-31 12:42:57 |
| py3-pysrt-1.1.2-r5.apk | 25.5 KiB | 2025-05-14 18:17:54 |
| py3-nmap-pyc-0.7.1-r4.apk | 25.5 KiB | 2024-10-25 19:08:18 |
| swappy-1.7.1-r0.apk | 25.5 KiB | 2025-08-21 23:29:33 |
| php82-pecl-ssh2-1.4.1-r0.apk | 25.5 KiB | 2025-10-24 02:07:04 |
| vali-0.1.0-r1.apk | 25.6 KiB | 2025-11-30 11:57:20 |
| ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-10-25 19:08:49 |
| py3-croniter-pyc-6.0.0-r0.apk | 25.6 KiB | 2025-08-25 09:05:31 |
| gsettings-qt-1.1.0-r0.apk | 25.6 KiB | 2025-12-10 17:06:24 |
| perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-10-25 19:08:07 |
| py3-manuel-pyc-1.13.0-r1.apk | 25.7 KiB | 2025-10-14 15:04:38 |
| khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-10-25 19:07:29 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-10-25 19:08:19 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-10-25 19:08:15 |
| lomiri-location-service-lang-3.3.0-r6.apk | 25.8 KiB | 2026-01-19 00:22:25 |
| py3-croniter-6.0.0-r0.apk | 25.8 KiB | 2025-08-25 09:05:31 |
| py3-icalendar-searcher-pyc-1.0.3-r0.apk | 25.8 KiB | 2025-12-07 19:13:40 |
| droidcam-gui-2.1.3-r3.apk | 25.8 KiB | 2025-09-27 13:40:39 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.9 KiB | 2025-05-11 11:57:50 |
| ruby-ansi-1.5.0-r0.apk | 25.9 KiB | 2025-07-06 18:16:51 |
| php81-pecl-ssh2-1.4.1-r0.apk | 25.9 KiB | 2024-10-25 19:08:08 |
| py3-asif-pyc-0.3.2-r3.apk | 25.9 KiB | 2024-10-25 19:08:15 |
| py3-unoconv-0.9.0-r4.apk | 25.9 KiB | 2025-05-12 21:20:41 |
| ropgadget-7.7-r0.apk | 25.9 KiB | 2025-12-31 14:33:53 |
| py3-flask-socketio-pyc-5.5.1-r0.apk | 25.9 KiB | 2025-09-09 13:17:34 |
| py3-pyroma-pyc-4.2-r0.apk | 26.0 KiB | 2024-10-25 19:08:18 |
| kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26.0 KiB | 2024-10-25 19:07:30 |
| php83-pecl-eio-3.1.4-r0.apk | 26.0 KiB | 2025-07-24 13:42:50 |
| py3-milc-1.9.1-r0.apk | 26.0 KiB | 2025-01-25 16:04:45 |
| kodi-audioencoder-wav-20.2.0-r1.apk | 26.0 KiB | 2024-10-25 19:07:30 |
| pounce-3.1-r4.apk | 26.1 KiB | 2025-09-13 01:00:11 |
| dvisvgm-doc-3.4.4-r0.apk | 26.1 KiB | 2025-05-18 22:44:13 |
| deviced-dev-0_git20250427-r0.apk | 26.1 KiB | 2025-07-05 20:03:55 |
| libfort-0.4.2-r0.apk | 26.2 KiB | 2024-10-25 19:07:33 |
| arc-dark-gnome-20221218-r1.apk | 26.2 KiB | 2026-01-21 19:05:21 |
| libnxml-dev-0.18.3-r0.apk | 26.2 KiB | 2024-10-25 19:07:34 |
| php81-sodium-8.1.34-r1.apk | 26.2 KiB | 2026-01-14 03:13:20 |
| perl-xml-bare-0.53-r14.apk | 26.2 KiB | 2025-07-01 18:10:43 |
| libaudec-0.3.4-r3.apk | 26.3 KiB | 2024-10-25 19:07:32 |
| mirrorhall-0.1.1-r2.apk | 26.3 KiB | 2025-08-09 00:22:05 |
| py3-sqlmodel-0.0.22-r1.apk | 26.3 KiB | 2024-12-06 23:03:42 |
| 3proxy-doc-0.9.5-r1.apk | 26.4 KiB | 2025-11-17 15:33:15 |
| libaudec-tools-0.3.4-r3.apk | 26.4 KiB | 2024-10-25 19:07:32 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26.4 KiB | 2025-07-25 23:41:46 |
| lua-mqtt-3.4.3-r0.apk | 26.4 KiB | 2026-01-23 00:24:42 |
| dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-10-25 19:06:14 |
| dnscrypt-wrapper-0.4.2-r3.apk | 26.5 KiB | 2024-10-25 19:06:16 |
| perl-file-mmagic-xs-0.09008-r5.apk | 26.5 KiB | 2025-07-01 18:10:43 |
| php82-zip-8.2.30-r2.apk | 26.5 KiB | 2026-01-14 03:13:20 |
| sfwbar-doc-1.0_beta161-r0.apk | 26.5 KiB | 2025-07-31 13:29:01 |
| perl-module-cpants-analyse-1.02-r0.apk | 26.5 KiB | 2025-07-15 10:28:49 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-10-25 19:08:19 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 26.5 KiB | 2024-10-25 19:08:08 |
| charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-10-25 19:06:02 |
| tayga-0.9.6-r0.apk | 26.6 KiB | 2026-01-04 14:16:02 |
| py3-flask-limiter-3.10.1-r0.apk | 26.6 KiB | 2025-01-25 07:04:15 |
| primecount-7.20-r0.apk | 26.6 KiB | 2025-11-25 19:08:09 |
| nwg-displays-0.3.26-r0.apk | 26.7 KiB | 2025-08-28 16:22:57 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 26.8 KiB | 2024-10-25 19:08:15 |
| debconf-doc-1.5.82-r0.apk | 26.8 KiB | 2024-10-25 19:06:14 |
| tcl-curl-7.22.0-r0.apk | 26.9 KiB | 2024-10-25 19:08:53 |
| jdupes-1.28.0-r0.apk | 26.9 KiB | 2024-10-25 19:07:26 |
| py3-dunamai-1.25.0-r0.apk | 26.9 KiB | 2025-07-25 22:23:57 |
| perl-clipboard-doc-0.32-r1.apk | 26.9 KiB | 2025-10-13 15:50:32 |
| py3-ecos-2.0.11-r4.apk | 26.9 KiB | 2024-10-25 19:08:15 |
| keepsecret-lang-1.0.0-r0.apk | 27.0 KiB | 2025-12-15 16:22:51 |
| libcork-0.15.0-r7.apk | 27.0 KiB | 2024-10-25 19:07:32 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 27.0 KiB | 2025-05-29 11:58:14 |
| rtmidi-6.0.0-r0.apk | 27.0 KiB | 2024-10-25 19:08:24 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 27.1 KiB | 2025-03-31 12:42:57 |
| boost1.89-iostreams-1.89.0-r1.apk | 27.1 KiB | 2026-01-19 00:22:15 |
| btfs-2.24-r12.apk | 27.1 KiB | 2024-10-25 19:06:00 |
| libqtdbustest-0.4.0-r0.apk | 27.1 KiB | 2025-09-09 13:17:31 |
| gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-10-25 19:07:21 |
| libmpfi-1.5.4-r2.apk | 27.1 KiB | 2024-10-25 19:07:34 |
| vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-10-25 19:09:06 |
| php81-pecl-igbinary-3.2.17_rc1-r0.apk | 27.2 KiB | 2025-11-27 21:26:49 |
| lxappearance-0.6.3-r4.apk | 27.2 KiB | 2026-01-14 15:24:04 |
| e16-doc-1.0.30-r0.apk | 27.2 KiB | 2024-11-05 13:22:01 |
| nm-tray-lang-0.5.1-r0.apk | 27.2 KiB | 2025-09-11 17:35:14 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27.3 KiB | 2025-11-17 15:33:23 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27.3 KiB | 2025-07-15 10:28:49 |
| php82-pecl-igbinary-3.2.17_rc1-r0.apk | 27.3 KiB | 2025-11-27 21:26:49 |
| litterbox-1.9-r2.apk | 27.3 KiB | 2025-09-13 01:00:11 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 27.4 KiB | 2025-10-24 02:07:04 |
| py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-10-25 19:08:15 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 27.4 KiB | 2024-10-25 19:08:08 |
| turntable-lang-0.5.1-r0.apk | 27.4 KiB | 2026-01-06 13:43:27 |
| ubus-2025.10.17-r0.apk | 27.4 KiB | 2025-10-25 12:57:28 |
| py3-furl-2.1.4-r0.apk | 27.5 KiB | 2025-07-15 10:28:49 |
| elementary-dock-lang-8.0.2-r0.apk | 27.6 KiB | 2025-05-24 22:57:46 |
| libaudec-static-0.3.4-r3.apk | 27.6 KiB | 2024-10-25 19:07:32 |
| perl-sql-abstract-more-1.44-r0.apk | 27.6 KiB | 2025-07-15 10:28:49 |
| php82-sodium-8.2.30-r2.apk | 27.6 KiB | 2026-01-14 03:13:20 |
| perl-math-int64-0.57-r2.apk | 27.6 KiB | 2025-07-01 18:10:43 |
| py3-timeago-pyc-1.0.16-r0.apk | 27.7 KiB | 2024-10-25 19:08:19 |
| conserver-doc-8.2.7-r0.apk | 27.7 KiB | 2025-12-18 17:47:09 |
| php85-pecl-luasandbox-4.1.3-r0.apk | 27.7 KiB | 2025-12-20 11:45:29 |
| arc-gnome-20221218-r1.apk | 27.7 KiB | 2026-01-21 19:05:21 |
| py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-10-25 19:08:15 |
| lomiri-trust-store-lang-2.0.2-r14.apk | 27.7 KiB | 2025-12-18 14:17:18 |
| perl-barcode-zbar-0.10-r4.apk | 27.7 KiB | 2025-07-01 18:10:43 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-12-16 11:36:16 |
| py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-01-01 22:25:40 |
| dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-10-25 19:06:14 |
| libmrss-dev-0.19.2-r1.apk | 27.9 KiB | 2024-10-25 19:07:34 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-12-01 02:04:47 |
| py3-libmdbx-0.10.2-r7.apk | 27.9 KiB | 2024-10-25 19:08:15 |
| ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-10-25 19:07:06 |
| randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-11-20 02:43:40 |
| paprefs-1.2-r2.apk | 27.9 KiB | 2024-11-22 22:07:32 |
| ostui-doc-1.0.4-r0.apk | 27.9 KiB | 2025-09-11 02:50:13 |
| jbigkit-dev-2.1-r2.apk | 28.0 KiB | 2024-10-25 19:07:26 |
| octoprint-filecheck-2025.7.23-r0.apk | 28.0 KiB | 2026-01-04 14:27:31 |
| boost1.89-fiber-1.89.0-r1.apk | 28.1 KiB | 2026-01-19 00:22:15 |
| trigger-rally-doc-0.6.7-r3.apk | 28.1 KiB | 2025-03-25 15:39:41 |
| mimeo-2023-r2.apk | 28.2 KiB | 2024-10-25 19:07:47 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 28.2 KiB | 2024-10-25 19:08:19 |
| py3-helper-pyc-2.5.0-r5.apk | 28.2 KiB | 2024-10-25 19:08:15 |
| smile-lang-2.11.0-r0.apk | 28.2 KiB | 2025-12-21 11:40:19 |
| libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-10-25 19:07:35 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28.3 KiB | 2025-07-15 10:28:49 |
| perl-devel-repl-1.003029-r0.apk | 28.3 KiB | 2025-04-24 14:50:06 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.4 KiB | 2025-03-19 11:44:15 |
| throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-12-15 19:22:54 |
| pdfcrack-0.21-r0.apk | 28.4 KiB | 2025-11-20 03:26:01 |
| rocm-cmake-6.4.3-r0.apk | 28.4 KiB | 2025-08-18 11:32:15 |
| mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-10-25 19:07:49 |
| optee-client-dev-3.20.0-r0.apk | 28.6 KiB | 2024-10-25 19:08:04 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 28.6 KiB | 2025-10-20 13:57:35 |
| perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-10-25 19:08:07 |
| gmic-bash-completion-3.6.0-r2.apk | 28.7 KiB | 2026-01-03 16:23:02 |
| perl-web-machine-doc-0.17-r0.apk | 28.7 KiB | 2025-06-13 18:17:29 |
| octoprint-firmwarecheck-2025.7.23-r0.apk | 28.7 KiB | 2026-01-04 14:27:31 |
| py3-bidict-pyc-0.23.1-r1.apk | 28.8 KiB | 2024-10-25 19:08:15 |
| ruby-hoe-4.5.1-r0.apk | 28.8 KiB | 2026-01-04 00:57:32 |
| py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-10-25 19:08:19 |
| php83-pecl-zmq-1.1.4-r0.apk | 28.8 KiB | 2024-10-25 19:08:08 |
| py3-tokenizers-pyc-0.21.2-r0.apk | 28.9 KiB | 2025-07-01 18:10:43 |
| ktx-dev-4.3.2-r1.apk | 28.9 KiB | 2025-06-10 02:49:42 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 28.9 KiB | 2024-10-25 19:08:19 |
| lomiri-api-0.2.3-r0.apk | 28.9 KiB | 2025-10-25 20:19:19 |
| lxqt-wayland-session-doc-0.3.0-r0.apk | 29.0 KiB | 2025-11-20 22:09:06 |
| bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-10-25 19:06:00 |
| py3-altgraph-pyc-0.17.4-r1.apk | 29.1 KiB | 2024-10-25 19:08:14 |
| php84-pecl-runkit7-4.0.0_alpha6-r0.apk | 29.2 KiB | 2025-12-30 21:15:50 |
| materia-gnome-shell-20210322-r4.apk | 29.2 KiB | 2026-01-20 04:56:24 |
| materia-dark-gnome-shell-20210322-r4.apk | 29.2 KiB | 2026-01-20 04:56:24 |
| crazydiskinfo-1.1.0-r1.apk | 29.2 KiB | 2024-10-25 19:06:05 |
| openconnect-sso-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-05-14 09:13:41 |
| php85-pecl-runkit7-4.0.0_alpha6-r0.apk | 29.3 KiB | 2025-12-30 21:15:50 |
| openconnect-sso-pyc-0.8.0_git20230822-r0.apk | 29.3 KiB | 2025-05-14 09:13:41 |
| fpp-0.9.5-r0.apk | 29.3 KiB | 2024-10-25 19:07:15 |
| materia-dark-compact-gnome-shell-20210322-r4.apk | 29.3 KiB | 2026-01-20 04:56:24 |
| materia-compact-gnome-shell-20210322-r4.apk | 29.3 KiB | 2026-01-20 04:56:24 |
| py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-10-25 19:08:14 |
| libxml++-dev-5.4.0-r0.apk | 29.4 KiB | 2025-02-13 07:45:53 |
| py3-pymata-pyc-2.20-r4.apk | 29.4 KiB | 2024-10-25 19:08:18 |
| materia-dark-gtk4-20210322-r4.apk | 29.4 KiB | 2026-01-20 04:56:24 |
| materia-dark-compact-gtk4-20210322-r4.apk | 29.4 KiB | 2026-01-20 04:56:24 |
| libguestfs-dev-1.56.1-r0.apk | 29.4 KiB | 2025-07-24 13:42:49 |
| libgrapheme-dev-2.0.2-r0.apk | 29.5 KiB | 2025-07-24 13:42:49 |
| perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-10-25 19:08:07 |
| py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-12-08 21:36:41 |
| jack_capture-0.9.73_git20210429-r2.apk | 29.5 KiB | 2024-10-25 19:07:26 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 29.5 KiB | 2025-09-27 21:20:19 |
| boost1.89-prg_exec_monitor-1.89.0-r1.apk | 29.6 KiB | 2026-01-19 00:22:15 |
| punch-0.1.1-r0.apk | 29.6 KiB | 2025-12-10 17:06:24 |
| py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-10-25 19:08:14 |
| xfce4-hamster-plugin-1.17-r0.apk | 29.6 KiB | 2024-10-25 19:09:09 |
| py3-spotipy-2.24.0-r3.apk | 29.6 KiB | 2025-10-14 15:04:58 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 29.6 KiB | 2025-08-09 00:22:05 |
| blip-doc-0.10-r0.apk | 29.6 KiB | 2024-10-25 19:05:59 |
| it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-10-25 19:07:25 |
| simpleble-dev-0.10.4-r0.apk | 29.7 KiB | 2026-01-13 03:12:45 |
| lomiri-location-service-dev-3.3.0-r6.apk | 29.8 KiB | 2026-01-19 00:22:25 |
| php82-exif-8.2.30-r2.apk | 29.8 KiB | 2026-01-14 03:13:20 |
| jalv-gtk-1.6.8-r1.apk | 29.9 KiB | 2024-10-25 19:07:26 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.9 KiB | 2024-10-25 19:08:19 |
| php81-ldap-8.1.34-r1.apk | 29.9 KiB | 2026-01-14 03:13:20 |
| php81-exif-8.1.34-r1.apk | 29.9 KiB | 2026-01-14 03:13:20 |
| py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-11-25 22:22:44 |
| py3-svglib-1.5.1-r0.apk | 29.9 KiB | 2025-06-10 19:48:27 |
| py3-pyte-0.8.2-r3.apk | 29.9 KiB | 2025-05-12 21:20:41 |
| libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-10-25 19:07:32 |
| optee-client-libs-3.20.0-r0.apk | 30.0 KiB | 2024-10-25 19:08:04 |
| lomiri-download-manager-lang-0.3.0-r0.apk | 30.1 KiB | 2025-12-10 17:06:24 |
| py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-10-25 19:08:19 |
| libretro-freeintv-0_git20220319-r0.apk | 30.2 KiB | 2024-10-25 19:07:34 |
| crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-10-25 19:06:05 |
| ffms2-doc-5.0-r2.apk | 30.2 KiB | 2025-09-27 13:40:39 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30.3 KiB | 2025-10-12 13:32:18 |
| perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-10-25 19:08:07 |
| ffmpeg4-4.4.6-r0.apk | 30.3 KiB | 2026-01-04 01:24:33 |
| par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-10-25 19:08:06 |
| py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-10-25 19:08:15 |
| curtail-1.13.0-r0.apk | 30.4 KiB | 2025-07-05 20:39:57 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 30.4 KiB | 2025-07-30 19:18:50 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-10-25 19:08:15 |
| php82-ldap-8.2.30-r2.apk | 30.4 KiB | 2026-01-14 03:13:20 |
| punch-pyc-0.1.1-r0.apk | 30.5 KiB | 2025-12-10 17:06:24 |
| createrepo_c-dev-1.1.4-r1.apk | 30.6 KiB | 2025-12-30 20:57:51 |
| unit-php82-1.35.0-r0.apk | 30.6 KiB | 2025-10-24 02:07:04 |
| unit-php81-1.35.0-r1.apk | 30.6 KiB | 2025-09-16 04:50:29 |
| libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-10-25 19:07:35 |
| py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-10-25 19:08:19 |
| qperf-0.4.11-r2.apk | 30.8 KiB | 2025-05-12 21:20:41 |
| py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-10-25 19:08:18 |
| jhead-3.08-r0.apk | 30.8 KiB | 2024-10-25 19:07:26 |
| boost1.89-contract-1.89.0-r1.apk | 30.8 KiB | 2026-01-19 00:22:15 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 30.9 KiB | 2024-10-25 19:08:08 |
| pptpclient-1.10.0-r6.apk | 30.9 KiB | 2025-07-01 18:10:43 |
| bgpq4-1.15-r0.apk | 30.9 KiB | 2024-10-25 19:05:59 |
| linuxptp-nsm-4.4-r0.apk | 30.9 KiB | 2024-11-20 02:43:39 |
| foolsm-1.0.21-r0.apk | 31.0 KiB | 2024-10-25 19:07:13 |
| py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-10-25 19:08:19 |
| libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-10-25 19:07:32 |
| spreadtrum_flash-1.20240815-r0.apk | 31.1 KiB | 2025-05-11 11:57:56 |
| dfl-login1-0.3.0-r0.apk | 31.1 KiB | 2025-08-21 07:56:20 |
| plplot-5.15.0-r2.apk | 31.2 KiB | 2024-10-25 19:08:11 |
| randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-11-20 02:43:40 |
| ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-10-25 19:06:00 |
| mint-x-theme-xfwm4-2.3.7-r1.apk | 31.3 KiB | 2026-01-24 14:18:44 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 31.3 KiB | 2025-10-11 10:59:37 |
| boost1.89-container-1.89.0-r1.apk | 31.4 KiB | 2026-01-19 00:22:15 |
| libmdf-1.0.29-r0.apk | 31.4 KiB | 2024-10-25 19:07:33 |
| octoprint-pisupport-2025.7.23-r0.apk | 31.4 KiB | 2026-01-04 14:27:31 |
| lizardfs-cgi-3.13.0-r17.apk | 31.5 KiB | 2025-06-20 04:10:15 |
| py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-10-25 19:08:19 |
| py3-c3d-0.6.0-r1.apk | 31.5 KiB | 2026-01-19 00:22:29 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-10-25 19:08:18 |
| refine-lang-0.7.0-r0.apk | 31.7 KiB | 2026-01-04 14:49:59 |
| peg-0.1.18-r1.apk | 31.7 KiB | 2024-10-25 19:08:07 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-10-25 19:08:18 |
| py3-knowit-0.5.11-r0.apk | 31.7 KiB | 2025-11-24 00:03:15 |
| oblibs-0.3.4.0-r0.apk | 31.7 KiB | 2025-06-01 15:47:04 |
| mnamer-2.5.5-r1.apk | 31.7 KiB | 2024-10-25 19:07:49 |
| jedi-language-server-0.45.1-r0.apk | 31.7 KiB | 2025-05-11 11:57:47 |
| kdiskmark-lang-3.2.0-r0.apk | 31.8 KiB | 2025-07-01 18:10:43 |
| php81-imap-8.1.34-r1.apk | 31.8 KiB | 2026-01-14 03:13:20 |
| bcg729-1.1.1-r1.apk | 31.9 KiB | 2025-10-15 08:20:52 |
| numbat-doc-1.16.0-r0.apk | 31.9 KiB | 2025-08-19 13:11:22 |
| moon-buggy-1.0.51-r1.apk | 32.0 KiB | 2024-10-25 19:07:51 |
| php82-imap-8.2.30-r2.apk | 32.0 KiB | 2026-01-14 03:13:20 |
| py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-10-25 19:08:18 |
| gmenuharness-0.1.4-r2.apk | 32.1 KiB | 2025-02-22 12:17:56 |
| lomiri-api-dev-0.2.3-r0.apk | 32.1 KiB | 2025-10-25 20:19:19 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.2 KiB | 2025-03-29 18:40:19 |
| py3-pysonic-pyc-1.0.3-r0.apk | 32.2 KiB | 2025-04-16 22:35:18 |
| py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-10-25 19:08:19 |
| tang-dbg-15-r0.apk | 32.3 KiB | 2025-01-25 07:04:20 |
| somebar-1.0.3-r0.apk | 32.4 KiB | 2024-10-25 19:08:47 |
| uxn-1.0-r0.apk | 32.4 KiB | 2024-10-25 19:09:05 |
| perl-expect-1.38-r0.apk | 32.4 KiB | 2025-04-19 19:01:28 |
| py3-librtmp-0.3.0-r6.apk | 32.5 KiB | 2024-10-25 19:08:15 |
| py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-10-25 19:08:17 |
| py3-furl-pyc-2.1.4-r0.apk | 32.5 KiB | 2025-07-15 10:28:49 |
| py3-django-suit-pyc-0.2.28-r8.apk | 32.5 KiB | 2024-10-25 19:08:15 |
| py3-senf-pyc-1.5.0-r0.apk | 32.5 KiB | 2025-06-27 02:58:51 |
| py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-10-25 19:08:15 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 32.6 KiB | 2025-05-14 18:17:54 |
| py3-qt.py-1.3.10-r1.apk | 32.7 KiB | 2024-10-25 19:08:19 |
| liberasurecode-1.6.3-r1.apk | 32.7 KiB | 2024-10-25 19:07:33 |
| py3-bitstruct-8.19.0-r1.apk | 32.7 KiB | 2024-10-25 19:08:15 |
| py3-libmdbx-pyc-0.10.2-r7.apk | 32.8 KiB | 2024-10-25 19:08:15 |
| hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-10-25 19:07:23 |
| linuxptp-pmc-4.4-r0.apk | 32.9 KiB | 2024-11-20 02:43:39 |
| cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-10-25 19:06:04 |
| php81-pecl-oauth-2.0.10-r0.apk | 33.1 KiB | 2025-10-09 18:37:46 |
| i3status-rust-doc-0.34.0-r0.apk | 33.1 KiB | 2025-07-24 13:42:48 |
| urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-10-25 19:09:05 |
| php82-pecl-oauth-2.0.10-r0.apk | 33.3 KiB | 2025-10-09 18:37:46 |
| tree-sitter-hare-0_git20230616-r2.apk | 33.3 KiB | 2025-07-24 13:42:52 |
| obnc-doc-0.17.2-r0.apk | 33.3 KiB | 2025-05-25 23:37:11 |
| php83-pecl-oauth-2.0.10-r0.apk | 33.3 KiB | 2025-10-09 18:37:46 |
| php84-pecl-oauth-2.0.10-r0.apk | 33.4 KiB | 2025-10-09 18:37:46 |
| csol-1.6.0-r0.apk | 33.4 KiB | 2024-10-25 19:06:06 |
| apulse-0.1.14-r0.apk | 33.4 KiB | 2025-09-09 13:17:23 |
| sblg-0.5.11-r0.apk | 33.4 KiB | 2024-10-25 19:08:42 |
| py3-engineio-doc-4.12.3-r0.apk | 33.4 KiB | 2025-11-27 09:35:52 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.5 KiB | 2025-03-19 11:44:15 |
| py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-11-13 06:28:59 |
| mm-common-doc-1.0.7-r0.apk | 33.5 KiB | 2025-06-27 16:39:46 |
| py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-12-25 18:58:27 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.5 KiB | 2024-10-25 19:08:19 |
| dfu-programmer-1.1.0-r0.apk | 33.5 KiB | 2024-10-25 19:06:14 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 33.6 KiB | 2024-11-04 12:51:59 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 33.6 KiB | 2025-10-24 02:07:04 |
| perl-lingua-stem-doc-2.31-r0.apk | 33.6 KiB | 2025-06-08 16:07:13 |
| kodi-audioencoder-vorbis-20.2.0-r1.apk | 33.6 KiB | 2024-10-25 19:07:30 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 33.6 KiB | 2025-07-15 10:28:49 |
| libopensles-standalone-0_git20250913-r0.apk | 33.6 KiB | 2025-09-22 14:14:58 |
| gl2ps-1.4.2-r0.apk | 33.7 KiB | 2025-10-12 21:33:12 |
| recoll-doc-1.43.9-r0.apk | 33.7 KiB | 2025-12-28 08:01:06 |
| startup-bridge-udev-2.0.3-r5.apk | 33.7 KiB | 2024-10-25 19:08:50 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 33.7 KiB | 2025-05-11 11:57:50 |
| py3-dominate-pyc-2.9.1-r1.apk | 33.7 KiB | 2024-10-25 19:08:15 |
| perl-json-validator-doc-5.15-r0.apk | 33.8 KiB | 2025-03-19 11:44:15 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.9 KiB | 2024-10-25 19:08:19 |
| aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-10-25 19:05:58 |
| buildbot-waterfall-view-4.3.0-r1.apk | 34.0 KiB | 2026-01-23 15:51:28 |
| trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-10-25 19:08:56 |
| py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-10-25 19:08:19 |
| hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-10-25 19:07:23 |
| startup-bridge-dconf-2.0.3-r5.apk | 34.1 KiB | 2024-10-25 19:08:50 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-01-01 22:25:40 |
| php81-sockets-8.1.34-r1.apk | 34.1 KiB | 2026-01-14 03:13:20 |
| lavacli-doc-2.4-r0.apk | 34.2 KiB | 2025-06-15 04:00:11 |
| py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-10-25 19:08:18 |
| avra-1.4.2-r0.apk | 34.2 KiB | 2024-10-25 19:05:59 |
| caffeine-ng-lang-4.2.0-r1.apk | 34.4 KiB | 2024-10-25 19:06:00 |
| sstp-client-1.0.20-r3.apk | 34.4 KiB | 2025-10-24 02:29:42 |
| php85-pecl-oauth-2.0.10-r0.apk | 34.4 KiB | 2025-10-09 18:37:46 |
| py3-aesedb-0.1.8-r0.apk | 34.5 KiB | 2025-11-03 06:49:14 |
| adbd-0_git20251009-r1.apk | 34.5 KiB | 2026-01-14 03:13:14 |
| libantlr3c-3.4-r3.apk | 34.5 KiB | 2024-10-25 19:07:32 |
| linuxptp-ts2phc-4.4-r0.apk | 34.6 KiB | 2024-11-20 02:43:39 |
| sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-10-25 19:08:42 |
| alttab-1.7.1-r0.apk | 34.7 KiB | 2024-10-25 19:05:53 |
| py3-pysonic-1.0.3-r0.apk | 34.8 KiB | 2025-04-16 22:35:18 |
| pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-10-25 19:08:11 |
| py3-pygpgme-0.3.1-r10.apk | 34.8 KiB | 2026-01-19 00:22:29 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 34.8 KiB | 2025-07-15 18:37:24 |
| vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-10-25 19:09:06 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 34.8 KiB | 2025-09-29 18:48:07 |
| php81-session-8.1.34-r1.apk | 34.9 KiB | 2026-01-14 03:13:20 |
| dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-10-25 19:06:16 |
| py3-rpio-0.10.1-r8.apk | 35.0 KiB | 2024-10-25 19:08:19 |
| py3-icalendar-searcher-1.0.3-r0.apk | 35.0 KiB | 2025-12-07 19:13:40 |
| ruff-lsp-pyc-0.0.62-r0.apk | 35.0 KiB | 2025-03-10 20:53:27 |
| commoncpp-tools-7.0.1-r1.apk | 35.0 KiB | 2024-10-25 19:06:04 |
| php82-sockets-8.2.30-r2.apk | 35.0 KiB | 2026-01-14 03:13:20 |
| py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-10-25 19:08:18 |
| libsigrokdecode-dev-0.5.3-r4.apk | 35.0 KiB | 2024-10-25 19:07:35 |
| ecos-2.0.10-r0.apk | 35.1 KiB | 2024-10-25 19:07:06 |
| lshell-pyc-0.9.18-r12.apk | 35.1 KiB | 2025-09-09 13:17:31 |
| py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-10-25 19:08:18 |
| srain-lang-1.8.1-r0.apk | 35.1 KiB | 2025-06-26 18:45:30 |
| php81-curl-8.1.34-r1.apk | 35.1 KiB | 2026-01-14 03:13:20 |
| imapfilter-2.8.2-r0.apk | 35.2 KiB | 2024-10-25 19:07:25 |
| elementary-camera-lang-8.0.2-r0.apk | 35.2 KiB | 2025-09-02 02:25:31 |
| luapak-0.1.0_beta5-r0.apk | 35.3 KiB | 2024-10-25 19:07:38 |
| py3-mando-pyc-0.8.2-r0.apk | 35.3 KiB | 2025-12-18 11:02:53 |
| py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-10-25 19:08:15 |
| wput-0.6.2-r4.apk | 35.4 KiB | 2024-10-25 19:09:08 |
| mat2-0.13.5-r0.apk | 35.4 KiB | 2025-09-19 14:53:45 |
| autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-10-25 19:05:58 |
| linuxptp-phc2sys-4.4-r0.apk | 35.4 KiB | 2024-11-20 02:43:39 |
| tofu-ls-doc-0.2.0-r2.apk | 35.4 KiB | 2026-01-19 00:22:34 |
| php82-session-8.2.30-r2.apk | 35.5 KiB | 2026-01-14 03:13:20 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-10-25 19:08:18 |
| refine-0.7.0-r0.apk | 35.5 KiB | 2026-01-04 14:49:59 |
| burp-server-3.2.0-r0.apk | 35.6 KiB | 2026-01-19 00:22:15 |
| razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-10-25 19:08:22 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.6 KiB | 2024-10-25 19:08:18 |
| sentinel-proxy-2.1.0-r1.apk | 35.6 KiB | 2025-06-13 14:11:53 |
| py3-asysocks-examples-0.2.18-r0.apk | 35.6 KiB | 2025-11-03 06:49:14 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-10-25 19:08:15 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 35.8 KiB | 2024-10-25 19:08:08 |
| turnstile-0.1.10-r3.apk | 35.8 KiB | 2024-10-25 19:09:04 |
| ovos-audio-pyc-1.0.1-r0.apk | 35.8 KiB | 2025-07-15 20:03:25 |
| phosh-tour-lang-0.52.0-r0.apk | 35.9 KiB | 2026-01-04 16:13:36 |
| php83-pecl-ev-1.2.2-r0.apk | 35.9 KiB | 2025-11-08 01:14:13 |
| py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-12-25 22:09:47 |
| php85-pecl-ev-1.2.2-r0.apk | 35.9 KiB | 2025-11-08 01:14:13 |
| php84-pecl-ev-1.2.2-r0.apk | 36.0 KiB | 2025-11-08 01:14:13 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 36.0 KiB | 2024-10-25 19:08:08 |
| wbg-1.3.0-r1.apk | 36.0 KiB | 2025-11-01 13:38:01 |
| py3-socketio-doc-5.13.0-r0.apk | 36.0 KiB | 2025-09-09 13:17:34 |
| nwg-displays-pyc-0.3.26-r0.apk | 36.1 KiB | 2025-08-28 16:22:57 |
| bakelite-0.4.2-r0.apk | 36.1 KiB | 2024-10-25 19:05:59 |
| gmcapsule-0.9.8-r0.apk | 36.2 KiB | 2025-10-08 10:22:43 |
| lshell-0.9.18-r12.apk | 36.2 KiB | 2025-09-09 13:17:31 |
| peervpn-0.044-r5.apk | 36.3 KiB | 2024-10-25 19:08:07 |
| ansible-bender-0.10.1-r2.apk | 36.3 KiB | 2024-10-25 19:05:54 |
| appimagetool-1.9.1-r0.apk | 36.3 KiB | 2026-01-09 09:12:34 |
| normaliz-3.10.4-r3.apk | 36.4 KiB | 2025-11-26 08:23:40 |
| sigrok-cli-0.7.2-r0.apk | 36.5 KiB | 2024-10-25 19:08:46 |
| py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-10-25 19:08:18 |
| libserialport-dev-0.1.1-r1.apk | 36.6 KiB | 2024-10-25 19:07:35 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.6 KiB | 2024-10-25 19:08:18 |
| emmylua-ls-doc-0.19.0-r0.apk | 36.7 KiB | 2026-01-24 12:55:53 |
| php83-pecl-phpy-1.0.11-r1.apk | 36.8 KiB | 2025-05-12 21:20:39 |
| php84-pecl-phpy-1.0.11-r1.apk | 36.8 KiB | 2025-05-12 21:20:39 |
| py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-10-25 19:08:19 |
| py3-qasync-0.19.0-r2.apk | 36.9 KiB | 2024-10-25 19:08:18 |
| rtptools-1.22-r2.apk | 36.9 KiB | 2024-10-25 19:08:24 |
| spnavcfg-1.3-r0.apk | 36.9 KiB | 2026-01-09 23:44:41 |
| py3-enlighten-1.14.1-r0.apk | 36.9 KiB | 2025-08-28 16:22:57 |
| boost1.89-thread-1.89.0-r1.apk | 36.9 KiB | 2026-01-19 00:22:15 |
| kismet-nrf-51822-0.202509.1-r0.apk | 37.0 KiB | 2025-10-09 04:07:59 |
| py3-astral-3.2-r3.apk | 37.0 KiB | 2024-10-25 19:08:15 |
| py3-pytaglib-3.0.0-r0.apk | 37.1 KiB | 2025-01-26 19:29:30 |
| kcbench-0.9.14-r0.apk | 37.2 KiB | 2026-01-07 16:36:33 |
| capnet-assist-lang-8.0.0-r0.apk | 37.2 KiB | 2025-04-14 10:07:59 |
| perl-libapreq2-doc-2.17-r3.apk | 37.3 KiB | 2025-07-01 18:10:43 |
| zycore-dev-1.5.0-r1.apk | 37.4 KiB | 2025-07-15 10:28:50 |
| distroshelf-lang-1.3.0-r0.apk | 37.4 KiB | 2025-12-27 15:42:55 |
| py3-dexml-pyc-0.5.1-r9.apk | 37.5 KiB | 2024-10-25 19:08:15 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-10-25 19:08:07 |
| php82-curl-8.2.30-r2.apk | 37.5 KiB | 2026-01-14 03:13:20 |
| pwntools-doc-4.15.0-r0.apk | 37.5 KiB | 2025-12-31 14:33:53 |
| py3-aiosmb-examples-0.4.14-r0.apk | 37.5 KiB | 2025-11-03 06:49:14 |
| symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-10-25 19:08:52 |
| py3-cstruct-pyc-6.1-r0.apk | 37.6 KiB | 2025-08-21 23:06:56 |
| tcl-curl-doc-7.22.0-r0.apk | 37.7 KiB | 2024-10-25 19:08:53 |
| py3-python-iptables-1.2.0-r1.apk | 37.7 KiB | 2025-12-12 15:43:07 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-10-25 19:08:18 |
| gcli-doc-2.9.1-r0.apk | 37.7 KiB | 2025-11-09 16:39:49 |
| perl-path-dispatcher-doc-1.08-r0.apk | 37.7 KiB | 2025-06-09 12:05:48 |
| py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-11-01 15:13:50 |
| ovos-gui-1.3.3-r0.apk | 37.9 KiB | 2025-07-15 20:03:25 |
| libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-01-04 21:55:13 |
| phosh-tour-0.52.0-r0.apk | 37.9 KiB | 2026-01-04 16:13:36 |
| paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-11-22 22:07:32 |
| moosefs-metalogger-4.56.6-r2.apk | 37.9 KiB | 2025-06-20 04:10:15 |
| watchdog-5.16-r2.apk | 37.9 KiB | 2024-10-25 19:09:07 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 38.0 KiB | 2025-07-01 18:10:43 |
| pikchr-cmd-1.0.0-r0.apk | 38.0 KiB | 2025-10-25 09:21:01 |
| lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-01-03 10:09:40 |
| tree-sitter-make-1.1.1-r0.apk | 38.2 KiB | 2026-01-03 20:55:02 |
| openfortivpn-1.22.1-r0.apk | 38.2 KiB | 2024-12-11 21:31:54 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 38.4 KiB | 2025-10-09 04:07:59 |
| ovos-gui-pyc-1.3.3-r0.apk | 38.4 KiB | 2025-07-15 20:03:25 |
| py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-10-25 19:08:15 |
| linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-11-20 02:43:39 |
| ecasound-doc-2.9.3-r4.apk | 38.5 KiB | 2025-02-24 22:28:22 |
| libctl-dev-4.5.1-r1.apk | 38.6 KiB | 2024-10-25 19:07:32 |
| ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-10-25 19:07:16 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 38.6 KiB | 2025-10-09 04:07:59 |
| libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-10-25 19:07:32 |
| py3-zope-configuration-7.0-r0.apk | 38.7 KiB | 2025-12-02 00:39:47 |
| nfoview-2.1-r0.apk | 38.7 KiB | 2025-04-13 10:41:39 |
| valent-lang-1.0.0_alpha49-r0.apk | 38.8 KiB | 2025-12-24 18:31:10 |
| py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 38.8 KiB | 2026-01-09 18:55:18 |
| php82-pecl-memcache-8.2-r2.apk | 38.8 KiB | 2025-10-24 02:07:04 |
| php81-pdo-8.1.34-r1.apk | 38.8 KiB | 2026-01-14 03:13:20 |
| extundelete-0.2.4-r1.apk | 38.9 KiB | 2024-10-25 19:07:11 |
| py3-recurring-ical-events-3.8.0-r0.apk | 38.9 KiB | 2025-06-15 04:00:11 |
| log4cpp-dev-1.1.4-r1.apk | 38.9 KiB | 2024-10-25 19:07:37 |
| sturmreader-lang-3.7.2-r2.apk | 39.0 KiB | 2025-09-09 13:17:36 |
| php81-pecl-memcache-8.2-r1.apk | 39.0 KiB | 2024-10-25 19:08:08 |
| theme.sh-1.1.5-r0.apk | 39.0 KiB | 2024-10-25 19:08:55 |
| linkchecker-doc-10.6.0-r0.apk | 39.1 KiB | 2025-08-10 11:39:00 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-10-25 19:08:12 |
| py3-pyte-pyc-0.8.2-r3.apk | 39.2 KiB | 2025-05-12 21:20:41 |
| geoclue-stumbler-1.1-r0.apk | 39.2 KiB | 2025-10-04 17:03:36 |
| spread-sheet-widget-0.10-r0.apk | 39.2 KiB | 2024-10-25 19:08:48 |
| crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-10-25 19:06:05 |
| capsudo-dbg-0.1.2-r0.apk | 39.3 KiB | 2026-01-24 20:40:58 |
| varnish-modules-0.26.0-r0.apk | 39.3 KiB | 2025-07-06 18:16:51 |
| s-postgray-0.8.3-r0.apk | 39.3 KiB | 2024-10-25 19:08:25 |
| py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-10-25 19:08:15 |
| py3-manuel-1.13.0-r1.apk | 39.4 KiB | 2025-10-14 15:04:38 |
| 66-tools-doc-0.1.2.0-r0.apk | 39.4 KiB | 2025-06-01 15:47:00 |
| ffmpeg4-libswresample-4.4.6-r0.apk | 39.4 KiB | 2026-01-04 01:24:33 |
| perl-net-curl-doc-0.58-r0.apk | 39.4 KiB | 2026-01-19 00:22:27 |
| cocogitto-doc-6.5.0-r0.apk | 39.5 KiB | 2025-11-02 18:21:50 |
| volumeicon-0.5.1-r1.apk | 39.5 KiB | 2024-10-25 19:09:06 |
| py3-createrepo_c-1.1.4-r1.apk | 39.5 KiB | 2025-12-30 20:57:51 |
| repo-doc-2.60-r0.apk | 39.6 KiB | 2025-12-12 19:49:30 |
| materia-dark-compact-gtk3-20210322-r4.apk | 39.6 KiB | 2026-01-20 04:56:24 |
| materia-dark-gtk3-20210322-r4.apk | 39.6 KiB | 2026-01-20 04:56:24 |
| sentinel-minipot-2.3.0-r1.apk | 39.6 KiB | 2024-10-25 19:08:46 |
| py3-compdb-pyc-0.2.0-r8.apk | 39.6 KiB | 2024-10-25 19:08:15 |
| supercollider-dev-3.14.1-r0.apk | 39.7 KiB | 2026-01-09 00:35:55 |
| py3-fpdf-1.7.2-r5.apk | 39.7 KiB | 2024-10-25 19:08:15 |
| py3-pillow_heif-0.18.0-r0.apk | 39.8 KiB | 2024-10-25 19:08:18 |
| gl2ps-static-1.4.2-r0.apk | 39.9 KiB | 2025-10-12 21:33:12 |
| libmpfi-static-1.5.4-r2.apk | 40.0 KiB | 2024-10-25 19:07:34 |
| dislocker-libs-0.7.3-r6.apk | 40.0 KiB | 2025-07-24 13:42:48 |
| php81-mysqli-8.1.34-r1.apk | 40.1 KiB | 2026-01-14 03:13:20 |
| py3-irc-20.4.1-r1.apk | 40.1 KiB | 2025-10-08 10:22:43 |
| py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-10-25 19:08:15 |
| py3-bibtexparser-1.4.3-r0.apk | 40.2 KiB | 2025-01-25 07:04:15 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 40.3 KiB | 2025-06-13 18:17:29 |
| libbsoncxx-3.8.0-r0.apk | 40.3 KiB | 2024-10-25 19:07:32 |
| py3-pyzor-1.1.2-r0.apk | 40.3 KiB | 2025-08-14 06:26:38 |
| py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-10-25 19:08:19 |
| php82-pdo-8.2.30-r2.apk | 40.4 KiB | 2026-01-14 03:13:20 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-11-11 21:46:17 |
| py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-10-25 19:08:15 |
| primesieve-12.10-r0.apk | 40.6 KiB | 2025-11-25 19:08:09 |
| py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-10-25 19:08:19 |
| py3-unearth-0.18.0-r0.apk | 40.8 KiB | 2025-10-14 14:54:37 |
| py3-piper-tts-2023.11.14.2-r14.apk | 40.9 KiB | 2025-07-15 10:28:49 |
| bitlbee-mastodon-1.4.5-r0.apk | 40.9 KiB | 2024-10-25 19:05:59 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 41.0 KiB | 2025-07-15 10:28:49 |
| psftools-dev-1.1.2-r0.apk | 41.1 KiB | 2024-10-25 19:08:14 |
| alacritty-graphics-doc-0.16.1-r0.apk | 41.1 KiB | 2025-12-18 00:03:06 |
| opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk | 41.1 KiB | 2025-11-22 13:33:04 |
| gssdp-1.6.4-r1.apk | 41.1 KiB | 2025-08-09 00:22:03 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2024-12-06 23:03:42 |
| php82-mysqli-8.2.30-r2.apk | 41.2 KiB | 2026-01-14 03:13:20 |
| wlr-sunclock-1.2.1-r0.apk | 41.2 KiB | 2025-12-01 14:08:29 |
| sflowtool-6.02-r0.apk | 41.3 KiB | 2024-10-25 19:08:46 |
| pebble-le-dev-0.3.0-r2.apk | 41.3 KiB | 2024-12-14 19:23:33 |
| yices2-dev-2.6.5-r0.apk | 41.4 KiB | 2025-03-03 00:14:54 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 41.5 KiB | 2025-08-19 20:07:42 |
| py3-milc-pyc-1.9.1-r0.apk | 41.5 KiB | 2025-01-25 16:04:45 |
| mimeo-pyc-2023-r2.apk | 41.6 KiB | 2024-10-25 19:07:47 |
| gupnp-av-dev-0.14.4-r1.apk | 41.6 KiB | 2025-08-09 00:22:03 |
| imediff-2.6-r1.apk | 41.6 KiB | 2024-10-25 19:07:25 |
| litehtml-dev-0.9-r2.apk | 41.6 KiB | 2025-02-22 12:17:57 |
| qadwaitadecorations-0.1.7-r2.apk | 41.7 KiB | 2026-01-19 00:22:29 |
| clinfo-3.0.23.01.25-r0.apk | 41.8 KiB | 2024-10-25 19:06:03 |
| goomwwm-1.0.0-r5.apk | 41.8 KiB | 2024-10-25 19:07:19 |
| dublin-traceroute-0.4.2-r4.apk | 41.9 KiB | 2024-10-25 19:07:06 |
| azpainter-doc-3.0.11-r0.apk | 41.9 KiB | 2025-02-22 12:34:49 |
| hub-doc-2.14.2-r37.apk | 42.0 KiB | 2026-01-19 00:22:21 |
| kodi-audioencoder-flac-20.2.0-r1.apk | 42.0 KiB | 2024-10-25 19:07:30 |
| persistent-cache-cpp-1.0.9-r0.apk | 42.0 KiB | 2025-09-09 13:17:33 |
| waynergy-0.0.17-r1.apk | 42.1 KiB | 2025-09-13 01:00:11 |
| libqofono-dev-0.124-r0.apk | 42.1 KiB | 2025-01-10 15:33:43 |
| perl-email-sender-doc-2.601-r0.apk | 42.1 KiB | 2025-04-04 09:42:55 |
| py3-pycosat-0.6.6-r2.apk | 42.2 KiB | 2024-10-25 19:08:18 |
| py3-pyparted-pyc-3.13.0-r1.apk | 42.2 KiB | 2024-10-25 19:08:18 |
| git-revise-pyc-0.7.0-r5.apk | 42.2 KiB | 2024-10-25 19:07:16 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 42.2 KiB | 2024-10-25 19:08:19 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.3 KiB | 2024-10-25 19:08:18 |
| nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-10-25 19:07:55 |
| py3-svglib-pyc-1.5.1-r0.apk | 42.4 KiB | 2025-06-10 19:48:27 |
| xfsdump-doc-3.2.0-r0.apk | 42.4 KiB | 2025-08-30 20:48:03 |
| ubase-20200605-r3.apk | 42.5 KiB | 2024-10-25 19:09:04 |
| py3-colander-pyc-2.0-r2.apk | 42.5 KiB | 2024-10-25 19:08:15 |
| capnet-assist-8.0.0-r0.apk | 42.5 KiB | 2025-04-14 10:07:59 |
| materia-compact-gtk4-20210322-r4.apk | 42.6 KiB | 2026-01-20 04:56:24 |
| levmar-dev-2.6-r0.apk | 42.6 KiB | 2024-10-25 19:07:32 |
| php82-pgsql-8.2.30-r2.apk | 42.7 KiB | 2026-01-14 03:13:20 |
| rygel-dev-45.1-r0.apk | 42.7 KiB | 2026-01-05 15:35:46 |
| py3-isbnlib-3.10.14-r0.apk | 42.7 KiB | 2025-01-25 07:04:15 |
| materia-gtk4-20210322-r4.apk | 42.7 KiB | 2026-01-20 04:56:24 |
| i2util-dev-4.2.1-r1.apk | 42.7 KiB | 2024-10-25 19:07:25 |
| createrepo_c-1.1.4-r1.apk | 42.7 KiB | 2025-12-30 20:57:51 |
| perl-cgi-simple-doc-1.282-r0.apk | 42.7 KiB | 2025-08-30 04:17:23 |
| php81-pecl-memcached-3.4.0-r0.apk | 42.7 KiB | 2025-10-13 10:34:30 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.8 KiB | 2024-10-25 19:08:19 |
| pasystray-0.8.2-r0.apk | 42.8 KiB | 2024-10-25 19:08:06 |
| flatseal-2.3.1-r0.apk | 42.8 KiB | 2025-06-20 04:10:08 |
| php81-pgsql-8.1.34-r1.apk | 42.8 KiB | 2026-01-14 03:13:20 |
| cvs-fast-export-1.65-r0.apk | 42.9 KiB | 2024-10-25 19:06:06 |
| php82-pecl-memcached-3.4.0-r0.apk | 42.9 KiB | 2025-10-24 02:07:04 |
| py3-bookkeeper-4.17.2-r0.apk | 43.0 KiB | 2025-07-15 10:28:49 |
| perl-extutils-xsbuilder-0.28-r5.apk | 43.0 KiB | 2024-10-25 19:08:07 |
| dfl-ipc-0.3.0-r0.apk | 43.1 KiB | 2025-08-21 07:56:20 |
| jalv-1.6.8-r1.apk | 43.1 KiB | 2024-10-25 19:07:26 |
| py3-cdio-pyc-2.1.1-r6.apk | 43.1 KiB | 2025-01-25 07:04:15 |
| libiio-0.25-r2.apk | 43.2 KiB | 2024-10-25 19:07:33 |
| py3-coreapi-pyc-2.3.3-r9.apk | 43.3 KiB | 2024-10-25 19:08:15 |
| identme-0.6.0-r0.apk | 43.4 KiB | 2025-04-03 12:33:48 |
| py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-10-25 19:08:19 |
| rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-10-25 19:08:23 |
| openwsman-2.8.1-r1.apk | 43.6 KiB | 2025-07-01 18:10:43 |
| imediff-pyc-2.6-r1.apk | 43.7 KiB | 2024-10-25 19:07:25 |
| py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-10-25 19:08:18 |
| ustr-1.0.4-r1.apk | 43.8 KiB | 2024-10-25 19:09:05 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk | 43.8 KiB | 2024-10-25 19:08:19 |
| py3-dunamai-pyc-1.25.0-r0.apk | 43.8 KiB | 2025-07-25 22:23:57 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 44.0 KiB | 2025-11-03 06:49:14 |
| py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-10-25 19:08:19 |
| elementary-feedback-8.1.0-r0.apk | 44.5 KiB | 2025-11-22 16:20:47 |
| py3-mistletoe-1.4.0-r0.apk | 44.6 KiB | 2025-03-19 11:44:17 |
| ffmpeg4-libavdevice-4.4.6-r0.apk | 44.6 KiB | 2026-01-04 01:24:33 |
| malcontent-doc-0.13.1-r0.apk | 44.7 KiB | 2025-09-09 13:17:32 |
| sopwith-2.5.0-r0.apk | 44.8 KiB | 2024-10-25 19:08:48 |
| cartero-lang-0.2.2-r0.apk | 44.8 KiB | 2025-10-12 23:55:41 |
| py3-gtkspellcheck-5.0.3-r1.apk | 44.8 KiB | 2025-08-09 00:22:05 |
| gpscorrelate-2.3-r0.apk | 44.8 KiB | 2025-03-27 06:36:12 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk | 44.8 KiB | 2024-10-25 19:08:16 |
| ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-10-25 19:08:02 |
| lomiri-libusermetrics-lang-1.4.0-r0.apk | 45.1 KiB | 2025-12-10 17:06:24 |
| ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-10-25 19:07:10 |
| py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-10-25 19:08:19 |
| opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk | 45.2 KiB | 2025-11-22 13:33:04 |
| py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-10-25 19:08:19 |
| cpufetch-1.07-r0.apk | 45.3 KiB | 2025-11-01 10:01:07 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-10-25 19:08:18 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 45.4 KiB | 2024-10-25 19:07:34 |
| soapy-bladerf-0.4.2-r0.apk | 45.5 KiB | 2024-12-31 01:11:49 |
| endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-12-08 21:36:41 |
| glfw-wayland-dev-3.3.8-r3.apk | 45.6 KiB | 2024-10-25 19:07:16 |
| ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.6 KiB | 2024-10-25 19:08:05 |
| kodaskanna-0.2.2-r0.apk | 45.7 KiB | 2025-01-25 07:04:05 |
| php82-pecl-event-3.1.4-r0.apk | 45.8 KiB | 2025-10-24 02:07:04 |
| php81-pecl-event-3.1.4-r0.apk | 45.8 KiB | 2024-10-25 19:08:07 |
| py3-distorm3-3.5.2-r6.apk | 45.8 KiB | 2024-10-25 19:08:15 |
| dooit-3.3.3-r0.apk | 45.9 KiB | 2025-10-27 08:30:45 |
| py3-ovos-backend-client-1.0.0-r0.apk | 45.9 KiB | 2024-10-25 19:08:18 |
| py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-10-25 19:08:18 |
| libucl-0.9.0-r0.apk | 46.1 KiB | 2024-10-25 19:07:35 |
| pimd-dense-2.1.0-r0.apk | 46.1 KiB | 2024-10-25 19:08:11 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 46.2 KiB | 2025-03-19 11:44:15 |
| fusesoc-2.3-r0.apk | 46.3 KiB | 2024-10-25 19:07:15 |
| py3-enlighten-pyc-1.14.1-r0.apk | 46.4 KiB | 2025-08-28 16:22:57 |
| cava-0.10.7-r0.apk | 46.4 KiB | 2026-01-14 03:13:16 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-11-29 22:03:58 |
| sblim-sfcc-2.2.8-r3.apk | 46.5 KiB | 2024-10-25 19:08:42 |
| gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-10-25 19:07:21 |
| acmetool-doc-0.2.2-r19.apk | 46.7 KiB | 2026-01-19 00:22:14 |
| khronos-4.0.1-r0.apk | 46.8 KiB | 2024-10-25 19:07:29 |
| py3-gls-1.3.1-r1.apk | 46.8 KiB | 2024-10-25 19:08:15 |
| postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk | 46.8 KiB | 2025-11-03 16:12:02 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-10-25 19:08:18 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 47.0 KiB | 2025-01-25 07:04:15 |
| py3-rosdistro-0.9.0-r3.apk | 47.1 KiB | 2024-10-25 19:08:19 |
| py3-yapsy-pyc-1.12.2-r7.apk | 47.1 KiB | 2024-10-25 19:08:19 |
| py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-10-25 19:08:17 |
| py3-ovos-config-2.1.1-r0.apk | 47.2 KiB | 2025-07-15 18:37:24 |
| py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-12-02 22:54:51 |
| libvdpau-va-gl-0.4.2-r0.apk | 47.3 KiB | 2024-10-25 19:07:35 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 47.3 KiB | 2024-10-25 19:08:18 |
| pixiewps-1.4.2-r2.apk | 47.3 KiB | 2025-05-12 21:20:41 |
| solanum-lang-6.0.0-r0.apk | 47.3 KiB | 2025-04-11 18:19:16 |
| polyglot-doc-2.0.4-r2.apk | 47.4 KiB | 2026-01-23 19:17:38 |
| gkrellm-server-2.3.11-r0.apk | 47.4 KiB | 2025-01-08 22:36:44 |
| bionic_translation-0_git20251125-r0.apk | 47.4 KiB | 2026-01-08 12:54:15 |
| lomiri-content-hub-lang-2.2.1-r0.apk | 47.4 KiB | 2025-12-10 17:06:24 |
| elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-10-28 22:05:58 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 47.5 KiB | 2024-10-25 19:08:15 |
| femto-doc-2.24.1-r0.apk | 47.5 KiB | 2025-09-09 13:17:26 |
| wiringx-0_git20240317-r2.apk | 47.5 KiB | 2025-03-03 16:22:14 |
| py3-marshmallow-3.26.1-r0.apk | 47.5 KiB | 2025-02-22 12:17:59 |
| startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-10-25 19:08:50 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-10-25 19:08:04 |
| daemontools-0.76-r3.apk | 47.8 KiB | 2024-10-25 19:06:06 |
| s-dkim-sign-0.6.2-r0.apk | 47.8 KiB | 2024-10-25 19:08:25 |
| evolution-etesync-1.1.2-r0.apk | 47.8 KiB | 2026-01-11 17:43:04 |
| libstirshaken-0_git20240208-r4.apk | 48.3 KiB | 2025-05-11 11:57:48 |
| php84-pecl-uv-0.3.0-r0.apk | 48.3 KiB | 2024-10-28 12:47:06 |
| php83-pecl-uv-0.3.0-r0.apk | 48.3 KiB | 2024-10-25 19:08:08 |
| perl-data-dump-streamer-2.42-r1.apk | 48.4 KiB | 2025-07-01 18:10:43 |
| ropgadget-pyc-7.7-r0.apk | 48.4 KiB | 2025-12-31 14:33:53 |
| elementary-feedback-lang-8.1.0-r0.apk | 48.5 KiB | 2025-11-22 16:20:47 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 48.5 KiB | 2024-10-25 19:08:18 |
| ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-10-25 19:05:52 |
| py3-sssd-pyc-2.11.1-r2.apk | 48.6 KiB | 2025-11-20 22:09:06 |
| py3-distorm3-pyc-3.5.2-r6.apk | 48.7 KiB | 2024-10-25 19:08:15 |
| urlwatch-2.28-r2.apk | 48.7 KiB | 2024-10-25 19:09:05 |
| py3-engineio-4.12.3-r0.apk | 48.7 KiB | 2025-11-27 09:35:52 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 48.8 KiB | 2025-01-25 07:04:15 |
| tinyscheme-1.42-r1.apk | 49.0 KiB | 2024-10-25 19:08:55 |
| py3-zope-configuration-pyc-7.0-r0.apk | 49.0 KiB | 2025-12-02 00:39:47 |
| ovos-core-2.1.0-r0.apk | 49.0 KiB | 2025-11-02 14:52:33 |
| portsmf-239-r2.apk | 49.0 KiB | 2025-10-13 20:02:16 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 49.1 KiB | 2025-07-15 10:28:49 |
| metadata-cleaner-2.5.6-r0.apk | 49.1 KiB | 2025-02-01 17:06:23 |
| onioncat-4.11.0-r1.apk | 49.2 KiB | 2026-01-09 16:41:23 |
| php81-pecl-ds-1.6.0-r0.apk | 49.3 KiB | 2025-05-11 11:57:51 |
| php82-pecl-ds-1.6.0-r0.apk | 49.3 KiB | 2025-10-24 02:07:04 |
| lavacli-2.4-r0.apk | 49.3 KiB | 2025-06-15 04:00:11 |
| clevis-21-r0.apk | 49.4 KiB | 2025-01-25 07:03:41 |
| py3-spotipy-pyc-2.24.0-r3.apk | 49.5 KiB | 2025-10-14 15:04:58 |
| py3-radon-pyc-6.0.1-r2.apk | 49.6 KiB | 2024-10-25 19:08:19 |
| py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-12-08 21:36:41 |
| swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-10-25 19:08:52 |
| libvalkey-0.2.1-r0.apk | 49.7 KiB | 2025-11-17 15:33:23 |
| jedi-language-server-pyc-0.45.1-r0.apk | 49.7 KiB | 2025-05-11 11:57:47 |
| perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-10-25 19:08:07 |
| mrsh-libs-0_git20210518-r1.apk | 49.9 KiB | 2024-10-25 19:07:51 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 49.9 KiB | 2025-06-15 04:00:11 |
| py3-ovos-bus-client-1.3.4-r0.apk | 50.0 KiB | 2025-05-11 11:57:51 |
| policycoreutils-3.6-r1.apk | 50.0 KiB | 2024-10-25 19:08:11 |
| py3-requests-cache-1.2.1-r2.apk | 50.1 KiB | 2026-01-19 00:22:29 |
| py3-tidalapi-0.8.4-r0.apk | 50.1 KiB | 2025-07-15 10:28:49 |
| ytmdl-2024.08.15.1-r1.apk | 50.2 KiB | 2025-05-14 18:17:54 |
| lynis-doc-3.1.4-r0.apk | 50.2 KiB | 2025-07-29 08:11:28 |
| cobang-2.3.1-r0.apk | 50.2 KiB | 2026-01-06 13:43:27 |
| bitlbee-facebook-1.2.2-r0.apk | 50.3 KiB | 2024-10-25 19:05:59 |
| kabmat-2.7.0-r0.apk | 50.4 KiB | 2024-10-25 19:07:27 |
| milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-10-25 19:07:46 |
| gupnp-dev-1.6.9-r1.apk | 50.4 KiB | 2025-08-09 00:22:03 |
| shine-3.1.1-r0.apk | 50.4 KiB | 2024-10-25 19:08:46 |
| perl-software-license-doc-0.104007-r0.apk | 50.4 KiB | 2025-07-08 16:53:32 |
| castero-0.9.5-r4.apk | 50.5 KiB | 2025-05-14 18:17:53 |
| perl-devel-nytprof-doc-6.14-r1.apk | 50.5 KiB | 2025-07-01 18:10:43 |
| py3-unicorn-2.1.4-r0.apk | 50.6 KiB | 2025-10-14 14:03:58 |
| py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-11-14 13:10:35 |
| py3-lunr-pyc-0.6.2-r4.apk | 50.6 KiB | 2024-10-25 19:08:17 |
| py3-lxmf-0.8.0-r0.apk | 50.8 KiB | 2025-09-23 20:48:58 |
| kodi-vfs-sftp-20.2.0-r1.apk | 50.8 KiB | 2024-10-25 19:07:30 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 50.8 KiB | 2024-10-25 19:05:54 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.2 KiB | 2024-10-25 19:08:14 |
| php81-pecl-apcu-5.1.28-r0.apk | 51.3 KiB | 2025-12-07 17:17:46 |
| lcalc-dev-2.1.0-r0.apk | 51.4 KiB | 2025-05-11 11:57:48 |
| perl-libapreq2-dev-2.17-r3.apk | 51.4 KiB | 2025-07-01 18:10:43 |
| py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-10-25 19:08:19 |
| php82-pecl-apcu-5.1.28-r0.apk | 51.6 KiB | 2025-12-07 17:17:46 |
| libvdpau-tegra-0_git20210517-r0.apk | 51.6 KiB | 2025-12-05 12:44:42 |
| py3-zimscraperlib-3.4.0-r0.apk | 51.6 KiB | 2024-11-06 09:41:46 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.9 KiB | 2024-10-25 19:08:18 |
| py3-pyatem-0.5.0-r4.apk | 52.0 KiB | 2024-10-25 19:08:18 |
| libiscsi-1.19.0-r2.apk | 52.0 KiB | 2024-10-25 19:07:33 |
| megatools-doc-1.11.5.20250706-r0.apk | 52.1 KiB | 2025-07-30 15:07:26 |
| lomiri-ui-extras-lang-0.8.0-r0.apk | 52.2 KiB | 2025-12-10 17:06:24 |
| ttfautohint-gui-1.8.4-r0.apk | 52.4 KiB | 2024-10-25 19:09:04 |
| py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-10-25 19:08:18 |
| scenefx-0.4.1-r0.apk | 52.4 KiB | 2025-12-20 23:13:53 |
| mpdcron-dev-0.3-r1.apk | 52.5 KiB | 2024-10-25 19:07:51 |
| py3-dogpile.cache-1.3.3-r1.apk | 52.6 KiB | 2025-05-14 18:17:54 |
| tremc-0.9.4-r0.apk | 52.6 KiB | 2025-07-16 08:06:41 |
| apt-dater-1.0.4-r4.apk | 52.6 KiB | 2024-10-25 19:05:55 |
| ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-10-25 19:06:00 |
| gingerbase-lang-2.3.0-r7.apk | 52.9 KiB | 2024-10-25 19:07:16 |
| libsirocco-2.1.1-r0.apk | 53.1 KiB | 2025-08-31 17:16:44 |
| libfishsound-dev-1.0.0-r1.apk | 53.1 KiB | 2024-10-25 19:07:33 |
| timew-doc-1.4.3-r1.apk | 53.2 KiB | 2024-10-25 19:08:55 |
| py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-10-25 19:08:19 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.4 KiB | 2025-06-08 16:07:13 |
| xfce4-panel-profiles-lang-1.1.1-r1.apk | 53.5 KiB | 2025-11-24 12:53:30 |
| py3-c3d-pyc-0.6.0-r1.apk | 53.5 KiB | 2026-01-19 00:22:29 |
| py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-10-25 19:08:19 |
| mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-10-25 19:07:46 |
| freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-10-25 19:07:15 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-10-25 19:05:58 |
| kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 53.8 KiB | 2024-10-25 19:07:30 |
| qspectrumanalyzer-2.2.0-r5.apk | 53.8 KiB | 2024-10-25 19:08:21 |
| belle-sip-dev-5.3.105-r0.apk | 53.9 KiB | 2025-02-25 12:52:26 |
| boost1.89-wserialization-1.89.0-r1.apk | 53.9 KiB | 2026-01-19 00:22:15 |
| py3-pyzor-pyc-1.1.2-r0.apk | 54.0 KiB | 2025-08-14 06:26:38 |
| py3-mapbox-earcut-1.0.1-r2.apk | 54.1 KiB | 2024-10-25 19:08:17 |
| perl-catalyst-devel-1.42-r0.apk | 54.1 KiB | 2025-03-20 16:04:27 |
| py3-joserfc-1.5.0-r0.apk | 54.1 KiB | 2025-12-04 13:54:41 |
| linux-gpib-4.3.7-r0.apk | 54.1 KiB | 2025-12-06 23:07:14 |
| py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-10-25 19:08:19 |
| dfl-sni-0.3.0-r0.apk | 54.2 KiB | 2025-08-21 07:56:20 |
| htmlcxx-0.87-r1.apk | 54.3 KiB | 2024-10-25 19:07:24 |
| metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-10-25 19:07:46 |
| boost1.89-filesystem-1.89.0-r1.apk | 54.4 KiB | 2026-01-19 00:22:15 |
| mat2-pyc-0.13.5-r0.apk | 54.5 KiB | 2025-09-19 14:53:45 |
| py3-sssd-2.11.1-r2.apk | 54.6 KiB | 2025-11-20 22:09:06 |
| fabric-3.2.2-r1.apk | 54.6 KiB | 2024-10-25 19:07:11 |
| opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk | 54.7 KiB | 2025-11-22 13:33:04 |
| notification-daemon-3.20.0-r1.apk | 54.8 KiB | 2025-07-01 22:04:13 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-10-25 19:07:55 |
| py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-11-01 15:13:50 |
| py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-10-25 19:08:19 |
| mint-y-theme-metacity-2.3.7-r1.apk | 54.9 KiB | 2026-01-24 14:18:44 |
| php81-pecl-amqp-2.2.0-r0.apk | 55.1 KiB | 2026-01-03 02:09:59 |
| recoll-dev-1.43.9-r0.apk | 55.1 KiB | 2025-12-28 08:01:06 |
| php82-pecl-amqp-2.2.0-r0.apk | 55.2 KiB | 2026-01-03 02:09:59 |
| backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-10-25 19:05:59 |
| gdcm-doc-3.2.2-r3.apk | 55.4 KiB | 2025-12-02 05:04:12 |
| serialdv-libs-1.1.5-r0.apk | 55.6 KiB | 2025-10-24 20:26:29 |
| sloccount-2.26-r3.apk | 55.6 KiB | 2024-10-25 19:08:47 |
| perl-cgi-simple-1.282-r0.apk | 55.6 KiB | 2025-08-30 04:17:23 |
| perl-net-curl-0.58-r0.apk | 55.6 KiB | 2026-01-19 00:22:27 |
| openwsman-dev-2.8.1-r1.apk | 55.7 KiB | 2025-07-01 18:10:43 |
| perl-catalyst-plugin-authentication-doc-0.10024-r0.apk | 55.9 KiB | 2025-03-29 18:40:19 |
| py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-10-25 19:08:18 |
| kismet-linux-wifi-0.202509.1-r0.apk | 56.2 KiB | 2025-10-09 04:07:59 |
| py3-qpageview-doc-0.6.2-r1.apk | 56.3 KiB | 2024-10-25 19:08:19 |
| py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-10-25 19:08:19 |
| py3-dnslib-0.9.26-r0.apk | 56.4 KiB | 2025-09-01 18:15:23 |
| charls-2.4.2-r0.apk | 56.4 KiB | 2024-10-25 19:06:02 |
| rtl-power-fftw-20200601-r4.apk | 56.6 KiB | 2024-10-25 19:08:24 |
| twemproxy-0.5.0-r0.apk | 56.7 KiB | 2024-10-25 19:09:04 |
| liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-10-25 19:07:37 |
| php81-dom-8.1.34-r1.apk | 57.0 KiB | 2026-01-14 03:13:20 |
| glfw-wayland-3.3.8-r3.apk | 57.0 KiB | 2024-10-25 19:07:16 |
| git-extras-7.4.0-r0.apk | 57.1 KiB | 2025-07-24 13:42:48 |
| 66-tools-0.1.2.0-r0.apk | 57.1 KiB | 2025-06-01 15:47:00 |
| py3-catkin-pkg-0.5.2-r4.apk | 57.2 KiB | 2024-10-25 19:08:15 |
| tinygltf-dev-2.9.7-r0.apk | 57.2 KiB | 2025-11-02 20:50:53 |
| wayfarer-1.4.0-r0.apk | 57.3 KiB | 2025-11-05 18:52:40 |
| drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-12-04 17:28:18 |
| nymphcast-mediaserver-0.1-r4.apk | 57.5 KiB | 2025-08-28 21:08:04 |
| kfc-0.1.4-r0.apk | 57.6 KiB | 2024-10-25 19:07:29 |
| xsecurelock-1.9.0-r1.apk | 57.6 KiB | 2024-10-25 19:09:09 |
| xfce4-panel-profiles-1.1.1-r1.apk | 57.6 KiB | 2025-11-24 12:53:30 |
| vcstool-pyc-0.3.0-r5.apk | 57.7 KiB | 2024-10-25 19:09:06 |
| libwbxml-0.11.8-r0.apk | 57.8 KiB | 2024-10-25 19:07:35 |
| xone-src-0.5.2-r0.apk | 57.8 KiB | 2026-01-19 15:50:14 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk | 57.9 KiB | 2024-10-25 19:08:17 |
| php82-dom-8.2.30-r2.apk | 58.1 KiB | 2026-01-14 03:13:20 |
| perl-json-validator-5.15-r0.apk | 58.1 KiB | 2025-03-19 11:44:15 |
| font-tiresias-doc-0_git20200704-r0.apk | 58.1 KiB | 2024-10-25 19:07:13 |
| sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-10-25 19:08:42 |
| fildesh-0.2.0-r0.apk | 58.2 KiB | 2024-10-25 19:07:12 |
| ovos-core-pyc-2.1.0-r0.apk | 58.3 KiB | 2025-11-02 14:52:33 |
| libantlr3c-dev-3.4-r3.apk | 58.4 KiB | 2024-10-25 19:07:32 |
| libxml++-5.4.0-r0.apk | 58.4 KiB | 2025-02-13 07:45:53 |
| perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-12-11 16:22:06 |
| sthttpd-2.27.1-r2.apk | 58.5 KiB | 2024-10-25 19:08:50 |
| py3-empy-pyc-3.3.4-r7.apk | 58.5 KiB | 2024-10-25 19:08:15 |
| epoch-1.3.0-r2.apk | 58.6 KiB | 2024-10-25 19:07:10 |
| anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-10-25 19:05:54 |
| py3-unicrypto-0.0.12-r0.apk | 58.6 KiB | 2025-11-03 06:49:14 |
| gupnp-dlna-0.12.0-r1.apk | 58.6 KiB | 2025-08-09 00:22:03 |
| dfl-applications-0.3.0-r0.apk | 58.7 KiB | 2025-08-21 07:56:20 |
| xfce4-mixer-lang-4.18.1-r2.apk | 58.7 KiB | 2024-10-25 19:09:09 |
| pympress-lang-1.8.6-r0.apk | 58.8 KiB | 2025-11-10 05:05:46 |
| mimalloc1-insecure-1.9.4-r0.apk | 58.8 KiB | 2025-11-05 14:28:38 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 58.9 KiB | 2025-10-11 10:59:37 |
| py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-10-25 19:08:15 |
| pebble-le-0.3.0-r2.apk | 59.0 KiB | 2024-12-14 19:23:33 |
| decoder-lang-0.7.0-r0.apk | 59.0 KiB | 2025-04-11 18:19:01 |
| plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-10-25 19:08:11 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 59.1 KiB | 2024-10-25 19:08:15 |
| polyglot-2.0.4-r2.apk | 59.1 KiB | 2026-01-23 19:17:38 |
| pqiv-2.12-r1.apk | 59.2 KiB | 2024-10-25 19:08:12 |
| sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-10-25 19:08:47 |
| libofx-0.10.9-r1.apk | 59.4 KiB | 2024-10-25 19:07:34 |
| elementary-calculator-lang-8.0.1-r0.apk | 59.5 KiB | 2025-09-02 02:29:44 |
| psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-10-25 19:08:14 |
| fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-10-25 19:07:12 |
| cvise-pyc-2.11.0-r0.apk | 59.7 KiB | 2025-03-10 20:53:26 |
| mxclient-0_git20211002-r1.apk | 59.9 KiB | 2024-10-25 19:07:52 |
| horizon-image-0.9.6-r9.apk | 60.0 KiB | 2024-10-25 19:07:24 |
| perl-devel-repl-doc-1.003029-r0.apk | 60.1 KiB | 2025-04-24 14:50:06 |
| fabric-pyc-3.2.2-r1.apk | 60.1 KiB | 2024-10-25 19:07:11 |
| openscap-daemon-0.1.10-r9.apk | 60.2 KiB | 2024-10-25 19:08:03 |
| megatools-1.11.5.20250706-r0.apk | 60.2 KiB | 2025-07-30 15:07:26 |
| shntool-3.0.10-r6.apk | 60.2 KiB | 2026-01-19 00:22:31 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 60.4 KiB | 2024-10-25 19:08:14 |
| mnamer-pyc-2.5.5-r1.apk | 60.5 KiB | 2024-10-25 19:07:49 |
| idesk-1-r1.apk | 60.5 KiB | 2024-10-25 19:07:25 |
| ustr-debug-1.0.4-r1.apk | 60.5 KiB | 2024-10-25 19:09:05 |
| gmcapsule-pyc-0.9.8-r0.apk | 60.8 KiB | 2025-10-08 10:22:43 |
| zydis-dev-4.1.0-r0.apk | 60.8 KiB | 2024-10-25 19:09:12 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 61.1 KiB | 2024-10-25 19:08:19 |
| guish-doc-2.6.11-r0.apk | 61.3 KiB | 2024-12-24 10:42:32 |
| gingerbase-pyc-2.3.0-r7.apk | 61.5 KiB | 2024-10-25 19:07:16 |
| formiko-pyc-1.5.0-r1.apk | 61.5 KiB | 2026-01-07 10:30:26 |
| py3-knowit-pyc-0.5.11-r0.apk | 61.5 KiB | 2025-11-24 00:03:15 |
| libqtdbusmock-0.9.1-r2.apk | 61.7 KiB | 2025-02-22 12:17:57 |
| dcnnt-pyc-0.10.0-r1.apk | 61.7 KiB | 2024-10-25 19:06:14 |
| py3-feedgen-pyc-1.0.0-r1.apk | 61.7 KiB | 2024-10-25 19:08:15 |
| font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-10-25 19:07:13 |
| lua5.1-luastatic-0.0.12-r1.apk | 62.1 KiB | 2024-10-25 19:07:38 |
| lomiri-terminal-app-2.0.5-r0.apk | 62.2 KiB | 2025-05-11 11:57:50 |
| py3-socketio-5.13.0-r0.apk | 62.2 KiB | 2025-09-09 13:17:34 |
| jbigkit-2.1-r2.apk | 62.2 KiB | 2024-10-25 19:07:26 |
| sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-10-25 19:08:49 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 62.3 KiB | 2024-10-25 19:08:21 |
| mimalloc1-1.9.4-r0.apk | 62.3 KiB | 2025-11-05 14:28:38 |
| btpd-0.16-r2.apk | 62.4 KiB | 2024-10-25 19:06:00 |
| py3-colander-2.0-r2.apk | 62.5 KiB | 2024-10-25 19:08:15 |
| clevis-dbg-21-r0.apk | 62.5 KiB | 2025-01-25 07:03:41 |
| femto-2.24.1-r0.apk | 62.7 KiB | 2025-09-09 13:17:26 |
| hping3-20051105-r4.apk | 62.8 KiB | 2024-10-25 19:07:24 |
| libxo-doc-1.7.5-r0.apk | 62.8 KiB | 2025-01-12 22:45:44 |
| materia-compact-gtk3-20210322-r4.apk | 62.9 KiB | 2026-01-20 04:56:24 |
| hidrd-0.2.0_git20190603-r1.apk | 62.9 KiB | 2024-10-25 19:07:24 |
| materia-gtk3-20210322-r4.apk | 63.0 KiB | 2026-01-20 04:56:24 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-10-25 19:08:18 |
| libupstart-2.0.3-r5.apk | 63.3 KiB | 2024-10-25 19:07:35 |
| clipit-1.4.5-r3.apk | 63.3 KiB | 2024-10-25 19:06:03 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 63.4 KiB | 2025-09-29 12:34:22 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 63.4 KiB | 2025-09-29 12:34:22 |
| xa-2.4.1-r0.apk | 63.5 KiB | 2025-02-25 12:36:39 |
| py3-minidump-0.0.24-r1.apk | 63.5 KiB | 2025-05-29 11:58:14 |
| kodi-game-libretro-desmume-0.0.1.28-r0.apk | 63.6 KiB | 2024-10-25 19:07:30 |
| masky-pyc-0.2.0-r2.apk | 63.7 KiB | 2025-05-29 11:58:13 |
| perl-snmp-5.0404-r14.apk | 63.8 KiB | 2025-07-01 18:10:43 |
| ueberzug-pyc-18.3.1-r0.apk | 63.8 KiB | 2025-02-22 12:34:49 |
| ueberzug-18.3.1-r0.apk | 63.8 KiB | 2025-02-22 12:34:49 |
| kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 64.0 KiB | 2024-10-25 19:07:30 |
| tree-sitter-gleam-1.1.0-r0.apk | 64.1 KiB | 2025-10-09 00:17:14 |
| gradia-lang-1.11.1-r0.apk | 64.2 KiB | 2026-01-06 14:50:01 |
| ffms2-5.0-r2.apk | 64.2 KiB | 2025-09-27 13:40:39 |
| php81-ffi-8.1.34-r1.apk | 64.4 KiB | 2026-01-14 03:13:20 |
| fastd-23-r0.apk | 64.4 KiB | 2025-01-27 21:33:07 |
| nvtop-3.2.0-r0.apk | 64.4 KiB | 2025-05-11 11:57:50 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 64.5 KiB | 2025-06-12 12:11:56 |
| gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-11-20 02:43:39 |
| log4cpp-1.1.4-r1.apk | 64.7 KiB | 2024-10-25 19:07:37 |
| purple-facebook-0.9.6-r0.apk | 64.7 KiB | 2024-10-25 19:08:14 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 64.8 KiB | 2024-10-25 19:08:19 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 64.8 KiB | 2025-09-27 21:20:19 |
| ansifilter-2.22-r0.apk | 64.8 KiB | 2026-01-19 17:09:51 |
| ansible-bender-pyc-0.10.1-r2.apk | 65.0 KiB | 2024-10-25 19:05:54 |
| libiscsi-static-1.19.0-r2.apk | 65.1 KiB | 2024-10-25 19:07:33 |
| git-extras-doc-7.4.0-r0.apk | 65.2 KiB | 2025-07-24 13:42:48 |
| php81-opcache-8.1.34-r1.apk | 65.4 KiB | 2026-01-14 03:13:20 |
| xsoldier-1.8-r2.apk | 65.5 KiB | 2024-10-25 19:09:09 |
| wiringx-dev-0_git20240317-r2.apk | 65.5 KiB | 2025-03-03 16:22:14 |
| kronosnet-1.32-r0.apk | 65.6 KiB | 2025-12-27 09:47:56 |
| php82-ffi-8.2.30-r2.apk | 65.7 KiB | 2026-01-14 03:13:20 |
| perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-12-11 16:22:06 |
| metadata-cleaner-lang-2.5.6-r0.apk | 65.9 KiB | 2025-02-01 17:06:23 |
| libmygpo-qt-1.1.0-r2.apk | 65.9 KiB | 2024-10-25 19:07:34 |
| swaks-20240103.0-r0.apk | 66.1 KiB | 2024-10-25 19:08:52 |
| libiio-tools-0.25-r2.apk | 66.1 KiB | 2024-10-25 19:07:33 |
| rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-10-25 19:08:24 |
| zutty-doc-0.16-r0.apk | 66.6 KiB | 2025-01-12 21:01:00 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 66.7 KiB | 2025-01-25 07:04:15 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 66.7 KiB | 2025-07-15 10:28:49 |
| arc-cinnamon-20221218-r1.apk | 66.8 KiB | 2026-01-21 19:05:21 |
| sdl3_image-3.2.6-r0.apk | 66.8 KiB | 2026-01-07 10:54:52 |
| libxo-dev-1.7.5-r0.apk | 66.9 KiB | 2025-01-12 22:45:44 |
| arc-dark-cinnamon-20221218-r1.apk | 67.2 KiB | 2026-01-21 19:05:21 |
| gearman-libs-1.1.22-r0.apk | 67.2 KiB | 2025-09-09 13:17:26 |
| heisenbridge-1.15.4-r0.apk | 67.2 KiB | 2025-10-08 10:22:43 |
| horizon-tools-0.9.6-r9.apk | 67.2 KiB | 2024-10-25 19:07:24 |
| viewnior-1.8-r1.apk | 67.3 KiB | 2024-10-25 19:09:06 |
| budgie-screensaver-5.1.0-r0.apk | 67.4 KiB | 2025-10-16 17:07:38 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-12-25 22:09:47 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 67.7 KiB | 2025-07-15 10:28:49 |
| py3-python-iptables-pyc-1.2.0-r1.apk | 67.9 KiB | 2025-12-12 15:43:07 |
| razercfg-0.42-r7.apk | 68.0 KiB | 2024-10-25 19:08:22 |
| py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-01-10 15:33:43 |
| postgresql16-wal2json-2.6-r0.apk | 68.1 KiB | 2024-10-25 19:08:12 |
| endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-12-08 21:36:41 |
| py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-10-25 19:08:18 |
| perl-encode-detect-1.01-r1.apk | 68.1 KiB | 2025-07-01 18:10:43 |
| elementary-calculator-8.0.1-r0.apk | 68.2 KiB | 2025-09-02 02:29:44 |
| py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-10-25 19:08:18 |
| php81-doc-8.1.34-r1.apk | 68.3 KiB | 2026-01-14 03:13:20 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2024-11-06 09:41:46 |
| ckb-next-daemon-0.6.2-r1.apk | 68.5 KiB | 2025-09-09 13:17:24 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 68.6 KiB | 2024-10-25 19:05:58 |
| php81-openssl-8.1.34-r1.apk | 68.8 KiB | 2026-01-14 03:13:20 |
| ledmon-1.0.0-r0.apk | 68.9 KiB | 2024-10-25 19:07:32 |
| tree-sitter-caddy-0_git20230322-r0.apk | 69.0 KiB | 2024-10-25 19:08:56 |
| php82-opcache-8.2.30-r2.apk | 69.1 KiB | 2026-01-14 03:13:20 |
| ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-10-25 19:08:02 |
| lomiri-action-api-1.2.1-r0.apk | 69.4 KiB | 2025-10-25 20:19:19 |
| debconf-1.5.82-r0.apk | 69.4 KiB | 2024-10-25 19:06:14 |
| ccze-0.2.1-r1.apk | 69.4 KiB | 2024-10-25 19:06:00 |
| py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-10-25 19:08:17 |
| py3-arcus-5.3.0-r5.apk | 69.7 KiB | 2025-06-12 12:11:56 |
| opkg-libs-0.7.0-r0.apk | 69.7 KiB | 2024-10-25 19:08:04 |
| hfst-doc-3.16.2-r1.apk | 69.7 KiB | 2026-01-14 03:13:18 |
| elementary-music-8.0.0-r0.apk | 69.8 KiB | 2024-10-28 22:05:58 |
| libnest2d-dev-0.4-r7.apk | 69.9 KiB | 2025-02-06 04:42:24 |
| tpm2-pkcs11-pyc-1.9.2-r0.apk | 69.9 KiB | 2025-12-13 16:13:11 |
| libeantic-2.1.0-r2.apk | 69.9 KiB | 2025-11-26 08:23:40 |
| py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-10-25 19:08:15 |
| smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-11-21 02:56:53 |
| py3-irc-pyc-20.4.1-r1.apk | 70.3 KiB | 2025-10-08 10:22:43 |
| php82-openssl-8.2.30-r2.apk | 70.4 KiB | 2026-01-14 03:13:20 |
| getmail6-6.19.10-r0.apk | 70.4 KiB | 2025-08-19 11:29:09 |
| xdg-ninja-0.2.0.2-r0.apk | 70.4 KiB | 2024-10-25 19:09:09 |
| perl-pango-1.227-r12.apk | 70.5 KiB | 2025-07-01 18:10:43 |
| boxes-2.3.1-r0.apk | 70.7 KiB | 2024-10-25 19:06:00 |
| gnucobol-doc-3.2-r0.apk | 70.8 KiB | 2025-07-28 14:02:39 |
| opendht-dev-3.1.11-r0.apk | 70.9 KiB | 2025-01-27 20:56:37 |
| libucl-dev-0.9.0-r0.apk | 71.3 KiB | 2024-10-25 19:07:35 |
| py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-12-25 18:58:27 |
| libgivaro-4.2.0-r2.apk | 71.4 KiB | 2024-10-25 19:07:33 |
| gupnp-av-0.14.4-r1.apk | 71.6 KiB | 2025-08-09 00:22:03 |
| tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-10-25 19:08:55 |
| perl-net-amqp-rabbitmq-2.40014-r1.apk | 71.9 KiB | 2025-11-20 23:47:45 |
| py3-latex2mathml-3.78.1-r1.apk | 72.0 KiB | 2025-09-29 18:48:07 |
| dnsperf-2.14.0-r0.apk | 72.3 KiB | 2024-10-25 19:06:16 |
| py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-10-25 19:08:15 |
| hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-10-25 19:07:25 |
| smassh-3.1.6-r0.apk | 72.4 KiB | 2024-11-21 02:56:53 |
| py3-xapp-3.0.1-r0.apk | 72.5 KiB | 2026-01-08 21:02:17 |
| normaliz-dev-3.10.4-r3.apk | 72.6 KiB | 2025-11-26 08:23:40 |
| py3-ovos-utils-0.8.1-r0.apk | 72.6 KiB | 2025-07-15 20:03:25 |
| linuxptp-ptp4l-4.4-r0.apk | 72.7 KiB | 2024-11-20 02:43:39 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 72.9 KiB | 2024-10-25 19:08:23 |
| b2-tools-4.5.0-r0.apk | 73.0 KiB | 2026-01-13 06:58:27 |
| afew-3.0.1-r0.apk | 73.1 KiB | 2025-05-18 22:30:35 |
| screenkey-pyc-1.5-r7.apk | 73.1 KiB | 2025-07-24 13:42:51 |
| php82-doc-8.2.30-r2.apk | 73.2 KiB | 2026-01-14 03:13:20 |
| yaru-theme-hdpi-25.10.3-r0.apk | 73.3 KiB | 2026-01-06 05:46:08 |
| elementary-settings-daemon-8.3.0-r0.apk | 73.3 KiB | 2025-05-26 09:05:10 |
| topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-10-25 19:08:56 |
| kraftkit-doc-0.12.3-r2.apk | 73.5 KiB | 2026-01-19 00:22:24 |
| k2-0_git20250903-r0.apk | 73.6 KiB | 2026-01-09 22:13:50 |
| py3-scour-pyc-0.38.2-r1.apk | 73.8 KiB | 2024-10-25 19:08:19 |
| kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 73.8 KiB | 2024-10-25 19:07:30 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-10-25 19:08:18 |
| nullmailer-2.2-r4.apk | 73.9 KiB | 2024-10-25 19:07:55 |
| php81-mysqlnd-8.1.34-r1.apk | 73.9 KiB | 2026-01-14 03:13:20 |
| py3-pyparted-3.13.0-r1.apk | 74.0 KiB | 2024-10-25 19:08:18 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 74.0 KiB | 2025-05-26 09:05:10 |
| php82-mysqlnd-8.2.30-r2.apk | 74.1 KiB | 2026-01-14 03:13:20 |
| vcdimager-doc-2.0.1-r5.apk | 74.3 KiB | 2025-01-25 07:04:25 |
| lua5.1-libguestfs-1.56.1-r0.apk | 74.3 KiB | 2025-07-24 13:42:50 |
| pympress-doc-1.8.6-r0.apk | 74.5 KiB | 2025-11-10 05:05:46 |
| flowd-0.9.1-r11.apk | 74.7 KiB | 2025-07-01 18:10:43 |
| py3-minio-7.2.18-r0.apk | 74.9 KiB | 2025-11-10 03:17:53 |
| py3-aesedb-pyc-0.1.8-r0.apk | 75.0 KiB | 2025-11-03 06:49:14 |
| mkosi-doc-26-r1.apk | 75.0 KiB | 2025-12-27 17:17:24 |
| libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-10-25 19:07:33 |
| highfive-2.10.1-r0.apk | 75.5 KiB | 2025-01-15 02:50:39 |
| py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-10-25 19:08:17 |
| avarice-2.14-r4.apk | 75.8 KiB | 2024-10-25 19:05:59 |
| boost1.89-serialization-1.89.0-r1.apk | 75.8 KiB | 2026-01-19 00:22:15 |
| libiscsi-utils-1.19.0-r2.apk | 76.0 KiB | 2024-10-25 19:07:33 |
| dbus-broker-37-r0.apk | 76.2 KiB | 2025-06-20 04:10:08 |
| pimd-3.0_git20220201-r0.apk | 76.3 KiB | 2024-10-25 19:08:11 |
| screenkey-1.5-r7.apk | 76.4 KiB | 2025-07-24 13:42:51 |
| libmustache-0.5.0-r1.apk | 76.4 KiB | 2024-10-25 19:07:34 |
| py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-10-25 19:08:15 |
| libstirshaken-dev-0_git20240208-r4.apk | 76.5 KiB | 2025-05-11 11:57:48 |
| soundconverter-pyc-4.1.1-r0.apk | 76.6 KiB | 2025-07-29 13:12:29 |
| libabigail-doc-2.8-r0.apk | 76.8 KiB | 2025-11-02 19:50:28 |
| py3-hishel-0.1.4-r0.apk | 76.9 KiB | 2025-10-15 12:50:10 |
| monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-10-25 19:07:51 |
| csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-10-25 19:06:06 |
| py3-apio-pyc-0.9.5-r0.apk | 77.2 KiB | 2024-10-25 19:08:15 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 77.3 KiB | 2025-06-09 16:27:11 |
| wlroots0.17-dev-0.17.4-r3.apk | 77.4 KiB | 2025-08-13 03:46:26 |
| curtail-lang-1.13.0-r0.apk | 77.5 KiB | 2025-07-05 20:39:57 |
| gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-10-25 19:07:15 |
| xfce4-mixer-4.18.1-r2.apk | 77.6 KiB | 2024-10-25 19:09:09 |
| errands-lang-46.2.10-r0.apk | 77.7 KiB | 2026-01-06 13:43:27 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 77.9 KiB | 2024-10-25 19:08:18 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 77.9 KiB | 2025-07-01 18:10:43 |
| py3-youtube-search-1.6.6-r5.apk | 78.1 KiB | 2025-05-14 18:17:54 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 78.1 KiB | 2025-05-14 18:17:54 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.2 KiB | 2024-10-25 19:08:18 |
| opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk | 78.6 KiB | 2025-11-22 13:33:04 |
| surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-10-25 19:08:52 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-10-25 19:08:07 |
| nb-doc-7.24.0-r0.apk | 78.8 KiB | 2026-01-21 19:05:22 |
| libretro-pocketcdg-0_git20220327-r0.apk | 78.8 KiB | 2024-10-25 19:07:34 |
| flatseal-lang-2.3.1-r0.apk | 78.9 KiB | 2025-06-20 04:10:08 |
| gupnp-1.6.9-r1.apk | 79.1 KiB | 2025-08-09 00:22:03 |
| py3-shodan-pyc-1.31.0-r1.apk | 79.2 KiB | 2024-10-25 19:08:19 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 79.3 KiB | 2024-10-25 19:05:58 |
| lxappearance-lang-0.6.3-r4.apk | 79.3 KiB | 2026-01-14 15:24:04 |
| py3-apt-lang-3.1.0-r0.apk | 79.5 KiB | 2025-12-21 03:05:46 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 79.6 KiB | 2024-10-25 19:08:19 |
| aide-0.19.2-r0.apk | 80.1 KiB | 2025-09-09 13:17:22 |
| reprotest-0.7.32-r0.apk | 80.3 KiB | 2025-11-02 14:19:50 |
| cpplint-2.0.2-r0.apk | 80.3 KiB | 2025-04-14 00:06:49 |
| irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-10-25 19:07:25 |
| elementary-dock-8.0.2-r0.apk | 80.5 KiB | 2025-05-24 22:57:46 |
| vit-2.3.2-r1.apk | 80.5 KiB | 2024-10-25 19:09:06 |
| guish-2.6.11-r0.apk | 80.6 KiB | 2024-12-24 10:42:32 |
| ctorrent-dnh-3.3.2-r2.apk | 80.9 KiB | 2024-10-25 19:06:06 |
| mimedefang-doc-3.6-r1.apk | 81.0 KiB | 2025-10-08 10:22:43 |
| sqliteodbc-0.99991-r0.apk | 81.1 KiB | 2024-10-25 19:08:49 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-10-25 19:08:19 |
| p0f-3.09b-r3.apk | 81.7 KiB | 2024-10-25 19:08:06 |
| care-2.3.0-r1.apk | 82.1 KiB | 2024-10-25 19:06:00 |
| createrepo_c-libs-1.1.4-r1.apk | 82.1 KiB | 2025-12-30 20:57:51 |
| silc-client-doc-1.1.11-r18.apk | 82.1 KiB | 2025-07-01 18:10:44 |
| getssl-2.48-r0.apk | 82.2 KiB | 2024-10-25 19:07:16 |
| py3-unearth-pyc-0.18.0-r0.apk | 82.3 KiB | 2025-10-14 14:54:37 |
| jdebp-redo-1.4-r1.apk | 82.4 KiB | 2024-10-25 19:07:26 |
| perl-pango-doc-1.227-r12.apk | 82.4 KiB | 2025-07-01 18:10:43 |
| tree-sitter-pascal-0.9.1-r0.apk | 82.4 KiB | 2024-10-25 19:08:56 |
| php84-pecl-solr-2.9.1-r0.apk | 82.6 KiB | 2025-11-25 05:10:36 |
| wlroots0.18-dev-0.18.3-r0.apk | 82.6 KiB | 2025-11-28 23:54:22 |
| py3-winacl-0.1.9-r1.apk | 82.7 KiB | 2025-05-29 11:58:14 |
| php85-pecl-solr-2.9.1-r0.apk | 82.8 KiB | 2025-11-25 05:10:36 |
| libsemanage-3.6-r1.apk | 83.0 KiB | 2024-10-25 19:07:35 |
| elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-11-10 22:07:57 |
| duc-1.4.5-r0.apk | 83.2 KiB | 2024-10-25 19:07:06 |
| thefuck-3.32-r5.apk | 83.3 KiB | 2024-10-25 19:08:54 |
| boost1.89-python3-1.89.0-r1.apk | 83.4 KiB | 2026-01-19 00:22:15 |
| elementary-videos-lang-8.0.2-r0.apk | 83.4 KiB | 2025-09-02 02:28:21 |
| gtkhash-1.5-r0.apk | 83.6 KiB | 2024-10-25 19:07:21 |
| elementary-camera-8.0.2-r0.apk | 83.7 KiB | 2025-09-02 02:25:31 |
| tachyon-0.99_beta6-r2.apk | 83.7 KiB | 2025-12-10 17:06:24 |
| lomiri-notifications-1.3.1-r0.apk | 83.8 KiB | 2025-01-10 10:07:37 |
| monopd-0.10.4-r0.apk | 83.8 KiB | 2025-01-11 11:11:30 |
| aptdec-1.8.0-r1.apk | 83.9 KiB | 2025-02-08 23:44:09 |
| py3-asyauth-0.0.23-r0.apk | 84.1 KiB | 2025-11-03 06:49:14 |
| py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-10-25 19:08:15 |
| kodi-inputstream-rtmp-21.1.2-r0.apk | 84.2 KiB | 2025-03-02 16:17:22 |
| libctl-4.5.1-r1.apk | 84.2 KiB | 2024-10-25 19:07:32 |
| errands-46.2.10-r0.apk | 84.5 KiB | 2026-01-06 13:43:27 |
| firehol-3.1.7-r2.apk | 84.8 KiB | 2024-10-25 19:07:12 |
| predict-2.3.1-r0.apk | 84.8 KiB | 2024-11-24 05:46:51 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 84.9 KiB | 2025-02-22 12:17:59 |
| nbsdgames-5-r0.apk | 85.1 KiB | 2024-10-25 19:07:52 |
| viewnior-lang-1.8-r1.apk | 85.1 KiB | 2024-10-25 19:09:06 |
| lumina-desktop-sudo-1.6.2-r0.apk | 85.5 KiB | 2024-10-25 19:07:38 |
| ccrtp-2.1.2-r0.apk | 85.6 KiB | 2024-10-25 19:06:00 |
| neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-11-07 05:39:48 |
| py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-10-25 19:08:15 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 86.0 KiB | 2024-10-25 19:08:18 |
| csfml-2.5.2-r0.apk | 86.2 KiB | 2024-10-25 19:06:06 |
| arc-dark-gtk4-20221218-r1.apk | 86.2 KiB | 2026-01-21 19:05:21 |
| vim-airline-0.11-r0.apk | 86.4 KiB | 2024-10-25 19:09:06 |
| kodi-vfs-sacd-20.1.0-r1.apk | 86.4 KiB | 2024-10-25 19:07:30 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 86.5 KiB | 2025-07-15 19:34:43 |
| perl-libapreq2-2.17-r3.apk | 87.2 KiB | 2025-07-01 18:10:43 |
| gammastep-2.0.9-r3.apk | 87.2 KiB | 2024-10-25 19:07:15 |
| gpsbabel-lang-1.10.0-r0.apk | 88.0 KiB | 2025-11-06 13:14:03 |
| lfm-3.1-r4.apk | 88.1 KiB | 2024-10-25 19:07:32 |
| lavacli-pyc-2.4-r0.apk | 88.2 KiB | 2025-06-15 04:00:11 |
| qdjango-0.6.2-r1.apk | 88.3 KiB | 2024-10-25 19:08:19 |
| py3-asysocks-0.2.18-r0.apk | 88.3 KiB | 2025-11-03 06:49:14 |
| nm-tray-0.5.1-r0.apk | 88.4 KiB | 2025-09-11 17:35:14 |
| libfoma-0.10.0_git20240712-r0.apk | 88.6 KiB | 2024-10-25 19:07:33 |
| lua-lut-1.2.1-r0.apk | 88.7 KiB | 2024-10-25 19:07:38 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-10-25 19:07:51 |
| naken_asm-dev-0_git20240726-r0.apk | 89.0 KiB | 2026-01-24 14:01:23 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 89.0 KiB | 2025-05-11 11:57:51 |
| ruby-coderay-1.1.3-r0.apk | 89.1 KiB | 2025-05-25 23:56:42 |
| u1db-qt-0.1.8-r0.apk | 89.1 KiB | 2024-10-25 19:09:04 |
| interception-tools-0.6.8-r3.apk | 89.1 KiB | 2025-10-12 14:19:47 |
| py3-fpdf-pyc-1.7.2-r5.apk | 89.2 KiB | 2024-10-25 19:08:15 |
| berry-lang-1.1.0-r0.apk | 89.2 KiB | 2024-10-25 19:05:59 |
| fusesoc-pyc-2.3-r0.apk | 89.3 KiB | 2024-10-25 19:07:15 |
| barman-doc-3.17.0-r0.apk | 89.4 KiB | 2026-01-21 19:05:21 |
| lua5.1-cmark-0.31.1-r0.apk | 89.7 KiB | 2026-01-20 12:30:47 |
| libigraph-dev-1.0.1-r0.apk | 89.7 KiB | 2025-12-30 13:37:10 |
| xtl-0.8.1-r0.apk | 89.7 KiB | 2025-10-27 13:41:50 |
| subliminal-2.4.0-r0.apk | 89.8 KiB | 2025-11-24 00:03:15 |
| lua5.2-cmark-0.31.1-r0.apk | 89.8 KiB | 2026-01-20 12:30:47 |
| sblim-wbemcli-1.6.3-r1.apk | 89.8 KiB | 2024-10-25 19:08:42 |
| perl-finance-quote-doc-1.68-r0.apk | 89.8 KiB | 2025-12-22 10:54:32 |
| lua5.4-cmark-0.31.1-r0.apk | 89.9 KiB | 2026-01-20 12:30:47 |
| lua5.3-cmark-0.31.1-r0.apk | 89.9 KiB | 2026-01-20 12:30:47 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 89.9 KiB | 2024-10-25 19:08:18 |
| mpdcron-0.3-r1.apk | 90.0 KiB | 2024-10-25 19:07:51 |
| anarch-1.0-r1.apk | 90.2 KiB | 2024-10-25 19:05:54 |
| perl-soap-lite-doc-1.27-r5.apk | 90.4 KiB | 2024-10-25 19:08:07 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.5 KiB | 2025-05-14 18:17:54 |
| libgivaro-static-4.2.0-r2.apk | 90.5 KiB | 2024-10-25 19:07:33 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 90.7 KiB | 2025-07-15 10:28:49 |
| pigpio-dev-79-r4.apk | 90.8 KiB | 2024-10-25 19:08:11 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 91.0 KiB | 2024-10-25 19:08:18 |
| kodi-audioencoder-lame-20.3.0-r1.apk | 91.1 KiB | 2024-10-25 19:07:30 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 91.3 KiB | 2024-10-25 19:08:19 |
| libsbsms-2.3.0-r0.apk | 91.3 KiB | 2024-10-25 19:07:35 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 91.4 KiB | 2025-05-11 11:57:50 |
| ustr-dev-1.0.4-r1.apk | 91.5 KiB | 2024-10-25 19:09:05 |
| py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-10-25 19:08:18 |
| moe-1.14-r0.apk | 91.8 KiB | 2024-10-25 19:07:49 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 91.9 KiB | 2024-10-25 19:05:55 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 92.2 KiB | 2025-11-03 06:49:14 |
| py3-ovos-workshop-7.0.6-r0.apk | 92.2 KiB | 2025-07-15 19:29:58 |
| py3-unicorn-pyc-2.1.4-r0.apk | 92.3 KiB | 2025-10-14 14:03:58 |
| video-trimmer-lang-25.03-r0.apk | 92.6 KiB | 2025-07-01 18:10:44 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 93.0 KiB | 2025-03-19 11:44:17 |
| ruby-build-20250925-r0.apk | 93.0 KiB | 2025-09-28 15:45:37 |
| py3-pigpio-79-r4.apk | 93.1 KiB | 2024-10-25 19:08:18 |
| ttfautohint-libs-1.8.4-r0.apk | 93.1 KiB | 2024-10-25 19:09:04 |
| budgie-session-0.9.1-r0.apk | 93.2 KiB | 2025-10-16 17:07:38 |
| libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-10-25 19:07:33 |
| arc-dark-gtk3-20221218-r1.apk | 93.2 KiB | 2026-01-21 19:05:21 |
| freediameter-libfdproto-1.5.0-r1.apk | 93.3 KiB | 2024-10-25 19:07:15 |
| way-displays-1.15.0-r0.apk | 93.3 KiB | 2025-09-30 09:47:21 |
| castero-pyc-0.9.5-r4.apk | 94.0 KiB | 2025-05-14 18:17:53 |
| py3-requests-cache-pyc-1.2.1-r2.apk | 94.2 KiB | 2026-01-19 00:22:29 |
| valent-dev-1.0.0_alpha49-r0.apk | 94.4 KiB | 2025-12-24 18:31:10 |
| py3-scs-3.2.3-r4.apk | 94.4 KiB | 2024-10-25 19:08:19 |
| libblastrampoline-dev-5.2.0-r0.apk | 94.4 KiB | 2024-10-25 19:07:32 |
| py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-10-25 19:08:18 |
| idevicerestore-1.0.0_git20250914-r0.apk | 95.0 KiB | 2025-10-08 10:22:43 |
| py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-10-25 19:08:18 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.1 KiB | 2024-11-21 13:31:24 |
| moosefs-doc-4.56.6-r2.apk | 95.2 KiB | 2025-06-20 04:10:15 |
| py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-12-02 22:54:51 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 95.3 KiB | 2025-05-14 18:17:54 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-10-25 19:08:15 |
| hyprpicker-0.4.5-r1.apk | 95.5 KiB | 2025-12-14 10:57:44 |
| cpp-httplib-0.30.1-r0.apk | 95.7 KiB | 2026-01-10 15:30:25 |
| py3-cdio-2.1.1-r6.apk | 95.8 KiB | 2025-01-25 07:04:15 |
| rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-10-25 19:08:24 |
| burp-doc-3.2.0-r0.apk | 96.2 KiB | 2026-01-19 00:22:15 |
| lizardfs-3.13.0-r17.apk | 96.2 KiB | 2025-06-20 04:10:15 |
| ssdfs-tools-4.09-r0.apk | 96.3 KiB | 2024-10-25 19:08:49 |
| katarakt-0.3-r0.apk | 96.4 KiB | 2026-01-05 20:17:26 |
| lomiri-ui-toolkit-lang-1.3.5110-r5.apk | 96.5 KiB | 2025-12-18 14:17:18 |
| ustr-doc-1.0.4-r1.apk | 96.6 KiB | 2024-10-25 19:09:05 |
| py3-pystache-pyc-0.6.5-r1.apk | 96.7 KiB | 2024-10-25 19:08:18 |
| py3-chameleon-4.6.0-r0.apk | 97.1 KiB | 2025-07-06 09:18:13 |
| libmhash-0.9.9.9-r3.apk | 97.3 KiB | 2024-10-25 19:07:34 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 97.4 KiB | 2025-06-09 16:27:11 |
| wf-config-0.9.0-r0.apk | 97.5 KiB | 2025-02-27 20:17:05 |
| py3-avro-1.11.3-r1.apk | 97.7 KiB | 2024-10-25 19:08:15 |
| py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-10-25 19:08:19 |
| azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-12-14 20:38:02 |
| belr-5.3.105-r0.apk | 98.0 KiB | 2025-02-25 12:52:43 |
| py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-10-25 19:08:19 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 98.2 KiB | 2025-07-15 10:28:49 |
| tinyemu-2019.12.21-r0.apk | 98.5 KiB | 2025-05-25 23:35:51 |
| bake-2.5.1-r0.apk | 98.5 KiB | 2024-10-25 19:05:59 |
| oblibs-dbg-0.3.4.0-r0.apk | 98.6 KiB | 2025-06-01 15:47:04 |
| opkg-dev-0.7.0-r0.apk | 99.4 KiB | 2024-10-25 19:08:04 |
| cpplint-pyc-2.0.2-r0.apk | 99.5 KiB | 2025-04-14 00:06:49 |
| tree-sitter-dart-0_git20250228-r0.apk | 99.9 KiB | 2025-03-10 22:54:30 |
| android-file-transfer-cli-4.5-r0.apk | 100.4 KiB | 2025-06-24 23:02:51 |
| caffeine-ng-4.2.0-r1.apk | 100.4 KiB | 2024-10-25 19:06:00 |
| php81-pecl-imagick-3.8.1-r0.apk | 100.5 KiB | 2025-11-28 00:07:52 |
| php82-pecl-imagick-3.8.1-r0.apk | 100.5 KiB | 2025-11-28 00:07:52 |
| sshuttle-pyc-1.1.2-r0.apk | 100.7 KiB | 2024-10-25 19:08:49 |
| zile-2.6.2-r1.apk | 100.7 KiB | 2024-10-25 19:09:12 |
| hdf4-dev-4.2.15-r2.apk | 100.8 KiB | 2024-10-25 19:07:23 |
| libvisio2svg-utils-0.5.5-r3.apk | 101.2 KiB | 2024-10-25 19:07:35 |
| libofx-tools-0.10.9-r1.apk | 101.3 KiB | 2024-10-25 19:07:34 |
| urlwatch-pyc-2.28-r2.apk | 101.4 KiB | 2024-10-25 19:09:05 |
| php82-pecl-teds-1.3.0-r0.apk | 101.5 KiB | 2024-10-25 19:08:08 |
| hpnssh-doc-18.8.0-r0.apk | 101.5 KiB | 2025-11-28 19:39:08 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-10-25 19:08:04 |
| py3-libcec-rpi-6.0.2-r4.apk | 101.7 KiB | 2024-10-25 19:08:15 |
| openscap-daemon-pyc-0.1.10-r9.apk | 101.9 KiB | 2024-10-25 19:08:03 |
| ruby-libguestfs-1.56.1-r0.apk | 102.1 KiB | 2025-07-24 13:42:51 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 102.3 KiB | 2025-11-18 20:35:09 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.6 KiB | 2024-10-25 19:08:15 |
| otpclient-4.1.1-r0.apk | 102.9 KiB | 2025-07-24 13:42:50 |
| reprotest-pyc-0.7.32-r0.apk | 102.9 KiB | 2025-11-02 14:19:50 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 103.0 KiB | 2024-10-25 19:08:18 |
| cluster-glue-libs-1.0.12-r5.apk | 103.0 KiB | 2024-10-25 19:06:04 |
| dooit-pyc-3.3.3-r0.apk | 103.2 KiB | 2025-10-27 08:30:45 |
| rizin-cutter-dev-2.4.1-r1.apk | 103.2 KiB | 2026-01-06 19:06:51 |
| getmail6-pyc-6.19.10-r0.apk | 103.3 KiB | 2025-08-19 11:29:09 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-10-25 19:08:13 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-10-25 19:08:18 |
| kodi-vfs-libarchive-21.0.2-r0.apk | 103.6 KiB | 2025-03-02 16:17:22 |
| catfish-pyc-4.20.1-r0.apk | 104.1 KiB | 2025-07-07 19:21:35 |
| py3-engineio-pyc-4.12.3-r0.apk | 104.2 KiB | 2025-11-27 09:35:52 |
| pithos-1.6.1-r0.apk | 104.5 KiB | 2024-10-25 19:08:11 |
| xosview-1.24-r0.apk | 104.5 KiB | 2024-10-25 19:09:09 |
| fceux-doc-2.6.6-r4.apk | 104.7 KiB | 2025-09-27 13:40:39 |
| lkrg-0.9.6-r1.apk | 104.7 KiB | 2025-01-09 11:44:48 |
| tree-sitter-typst-0.11.0-r1.apk | 105.4 KiB | 2026-01-04 16:20:09 |
| policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-10-25 19:08:11 |
| catdoc-0.95-r1.apk | 105.6 KiB | 2024-10-25 19:06:00 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-10-25 19:08:56 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 106.2 KiB | 2025-08-19 08:53:11 |
| elementary-videos-8.0.2-r0.apk | 106.5 KiB | 2025-09-02 02:28:21 |
| perl-sys-virt-doc-11.10.0-r0.apk | 106.5 KiB | 2025-12-03 16:02:54 |
| py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-10-25 19:08:18 |
| ssh-studio-pyc-1.3.1-r0.apk | 106.6 KiB | 2025-10-12 20:35:52 |
| perl-software-license-0.104007-r0.apk | 106.6 KiB | 2025-07-08 16:53:32 |
| libretro-xrick-0_git20220331-r0.apk | 106.8 KiB | 2024-10-25 19:07:35 |
| py3-hg-git-pyc-1.1.1-r1.apk | 106.8 KiB | 2024-10-25 19:08:15 |
| atomicparsley-20240608-r0.apk | 106.9 KiB | 2024-10-25 19:05:58 |
| soundconverter-lang-4.1.1-r0.apk | 106.9 KiB | 2025-07-29 13:12:29 |
| formiko-1.5.0-r1.apk | 107.0 KiB | 2026-01-07 10:30:26 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-10-25 19:08:17 |
| mangowc-0.11.0-r0.apk | 107.3 KiB | 2026-01-21 19:05:22 |
| otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-10-25 19:08:04 |
| crispy-doom-doc-7.1-r0.apk | 107.4 KiB | 2025-09-24 02:49:21 |
| h4h5tools-static-2.2.5-r4.apk | 107.6 KiB | 2024-10-25 19:07:22 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 107.6 KiB | 2025-10-25 20:19:19 |
| libvoikko-4.3.2-r1.apk | 107.7 KiB | 2024-10-25 19:07:35 |
| mediastreamer2-doc-5.3.112-r1.apk | 107.7 KiB | 2025-10-19 10:13:51 |
| deviced-0_git20250427-r0.apk | 107.8 KiB | 2025-07-05 20:03:55 |
| elf_diff-pyc-0.7.1-r3.apk | 108.0 KiB | 2024-10-25 19:07:07 |
| kronosnet-doc-1.32-r0.apk | 108.0 KiB | 2025-12-27 09:47:56 |
| elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-10-25 19:07:07 |
| boost1.89-url-1.89.0-r1.apk | 108.3 KiB | 2026-01-19 00:22:15 |
| libabigail-tools-2.8-r0.apk | 108.5 KiB | 2025-11-02 19:50:28 |
| libretro-dinothawr-0_git20220401-r0.apk | 108.5 KiB | 2024-10-25 19:07:34 |
| detox-2.0.0-r0.apk | 108.5 KiB | 2024-10-25 19:06:14 |
| kodi-game-libretro-20.1.0-r0.apk | 108.5 KiB | 2024-10-25 19:07:30 |
| lomiri-telephony-service-lang-0.6.2-r2.apk | 108.6 KiB | 2026-01-19 00:22:25 |
| zapzap-6.0.1.8-r0.apk | 108.8 KiB | 2025-04-14 00:40:11 |
| sbase-0_git20210730-r3.apk | 109.0 KiB | 2024-10-25 19:08:42 |
| py3-dnslib-pyc-0.9.26-r0.apk | 109.1 KiB | 2025-09-01 18:15:23 |
| py3-lxmf-pyc-0.8.0-r0.apk | 109.4 KiB | 2025-09-23 20:48:58 |
| hypridle-0.1.7-r1.apk | 109.4 KiB | 2025-12-14 10:57:44 |
| libnih-1.0.3-r7.apk | 109.4 KiB | 2024-10-25 19:07:34 |
| mediastreamer2-dev-5.3.112-r1.apk | 109.7 KiB | 2025-10-19 10:13:51 |
| py3-langcodes-pyc-3.3.0-r2.apk | 109.7 KiB | 2024-10-25 19:08:15 |
| libmhash-dev-0.9.9.9-r3.apk | 109.8 KiB | 2024-10-25 19:07:34 |
| font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-10-25 19:07:12 |
| gnome-latex-doc-3.49.0-r0.apk | 110.0 KiB | 2026-01-06 14:11:39 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 110.0 KiB | 2025-09-29 06:12:46 |
| hypnotix-3.5-r0.apk | 110.0 KiB | 2024-10-25 19:07:25 |
| arc-darker-gtk4-20221218-r1.apk | 110.1 KiB | 2026-01-21 19:05:21 |
| perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-10-25 19:08:07 |
| py3-joserfc-pyc-1.5.0-r0.apk | 110.3 KiB | 2025-12-04 13:54:41 |
| liboggz-1.1.1-r2.apk | 110.6 KiB | 2024-10-25 19:07:34 |
| py3-wstools-pyc-0.4.10-r7.apk | 110.8 KiB | 2024-10-25 19:08:19 |
| maxima-emacs-5.48.1-r9.apk | 110.8 KiB | 2025-08-22 10:18:40 |
| barnyard2-2.1.14_git20160413-r1.apk | 110.8 KiB | 2024-10-25 19:05:59 |
| menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-10-25 19:07:46 |
| py3-poppler-qt5-21.3.0-r2.apk | 111.3 KiB | 2025-01-29 19:44:57 |
| 66-tools-dbg-0.1.2.0-r0.apk | 111.4 KiB | 2025-06-01 15:47:00 |
| compiz-pyc-0.9.14.2-r13.apk | 111.4 KiB | 2025-11-29 00:00:59 |
| primesieve-libs-12.10-r0.apk | 111.4 KiB | 2025-11-25 19:08:09 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-10-25 19:08:17 |
| libnih-dev-1.0.3-r7.apk | 111.8 KiB | 2024-10-25 19:07:34 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-10-25 19:08:16 |
| lizardfs-metalogger-3.13.0-r17.apk | 112.1 KiB | 2025-06-20 04:10:15 |
| h4h5tools-2.2.5-r4.apk | 112.4 KiB | 2024-10-25 19:07:22 |
| pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-10-25 19:08:19 |
| py3-caldav-2.2.3-r0.apk | 112.7 KiB | 2025-12-07 19:13:40 |
| arc-lighter-gtk4-20221218-r1.apk | 112.8 KiB | 2026-01-21 19:05:21 |
| vcdimager-dev-2.0.1-r5.apk | 112.9 KiB | 2025-01-25 07:04:25 |
| lumina-desktop-photo-1.6.2-r0.apk | 112.9 KiB | 2024-10-25 19:07:38 |
| qml-box2d-0_git20180406-r0.apk | 113.2 KiB | 2024-10-25 19:08:21 |
| x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-10-25 19:09:09 |
| arc-gtk4-20221218-r1.apk | 113.5 KiB | 2026-01-21 19:05:21 |
| perl-finance-quote-1.68-r0.apk | 113.9 KiB | 2025-12-22 10:54:32 |
| vixl-dev-8.0.0-r0.apk | 114.5 KiB | 2025-07-15 18:06:02 |
| hatch-1.16.1-r0.apk | 114.8 KiB | 2025-11-30 20:01:51 |
| py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-10-25 19:08:15 |
| shellinabox-2.21-r3.apk | 115.0 KiB | 2024-10-25 19:08:46 |
| gcli-2.9.1-r0.apk | 115.2 KiB | 2025-11-09 16:39:49 |
| pigpio-doc-79-r4.apk | 115.3 KiB | 2024-10-25 19:08:11 |
| boost1.89-regex-1.89.0-r1.apk | 115.4 KiB | 2026-01-19 00:22:15 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-10-25 19:07:22 |
| php81-gd-8.1.34-r1.apk | 116.4 KiB | 2026-01-14 03:13:20 |
| php82-gd-8.2.30-r2.apk | 116.8 KiB | 2026-01-14 03:13:20 |
| compiz-dev-0.9.14.2-r13.apk | 117.2 KiB | 2025-11-29 00:00:59 |
| php81-phar-8.1.34-r1.apk | 117.3 KiB | 2026-01-14 03:13:20 |
| android-file-transfer-libs-4.5-r0.apk | 117.4 KiB | 2025-06-24 23:02:51 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 117.6 KiB | 2024-10-25 19:07:24 |
| php82-phar-8.2.30-r2.apk | 117.6 KiB | 2026-01-14 03:13:20 |
| py3-spnego-0.11.2-r0.apk | 117.9 KiB | 2025-01-16 07:51:54 |
| uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-10-25 19:09:05 |
| font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-10-25 19:07:12 |
| qt6-qtgraphs-dev-6.10.1-r0.apk | 118.4 KiB | 2025-12-10 17:06:24 |
| hyprsunset-0.3.3-r1.apk | 118.5 KiB | 2025-12-14 10:57:44 |
| wolfssh-1.4.17-r2.apk | 118.7 KiB | 2026-01-03 23:39:54 |
| wok-pyc-3.0.0-r6.apk | 118.7 KiB | 2024-10-25 19:09:08 |
| rosdep-pyc-0.19.0-r6.apk | 119.0 KiB | 2024-10-25 19:08:24 |
| py3-apt-pyc-3.1.0-r0.apk | 119.0 KiB | 2025-12-21 03:05:46 |
| boinc-screensaver-7.24.3-r0.apk | 119.2 KiB | 2024-10-25 19:06:00 |
| primecount-libs-7.20-r0.apk | 119.6 KiB | 2025-11-25 19:08:09 |
| py3-cchardet-2.1.7-r5.apk | 119.8 KiB | 2024-10-25 19:08:15 |
| conserver-8.2.7-r0.apk | 119.9 KiB | 2025-12-18 17:47:09 |
| jgmenu-4.5.0-r0.apk | 120.1 KiB | 2026-01-10 18:07:10 |
| faust-tools-2.79.3-r0.apk | 120.3 KiB | 2025-06-07 17:02:10 |
| wayqt-0.3.0-r1.apk | 120.8 KiB | 2025-08-21 07:56:20 |
| ruby-byebug-13.0.0-r0.apk | 120.9 KiB | 2026-01-19 00:22:30 |
| piper-tts-2023.11.14.2-r14.apk | 121.1 KiB | 2025-07-15 10:28:49 |
| moosefs-cgi-4.56.6-r2.apk | 121.2 KiB | 2025-06-20 04:10:15 |
| drogon-dev-1.9.4-r2.apk | 121.3 KiB | 2025-05-22 06:51:53 |
| keepsecret-1.0.0-r0.apk | 121.7 KiB | 2025-12-15 16:22:51 |
| libsbsms-dev-2.3.0-r0.apk | 122.0 KiB | 2024-10-25 19:07:35 |
| tpm2-pkcs11-1.9.2-r0.apk | 122.5 KiB | 2025-12-13 16:13:11 |
| py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-10-25 19:08:15 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 122.6 KiB | 2024-10-25 19:08:17 |
| valent-libs-1.0.0_alpha49-r0.apk | 122.7 KiB | 2025-12-24 18:31:10 |
| steghide-0.5.1.1-r0.apk | 122.9 KiB | 2024-10-25 19:08:50 |
| neard-0.19-r1.apk | 122.9 KiB | 2026-01-19 00:22:26 |
| py3-minikerberos-0.4.9-r0.apk | 123.2 KiB | 2025-11-03 06:49:14 |
| osmctools-0.9-r0.apk | 123.3 KiB | 2024-10-25 19:08:04 |
| lomiri-thumbnailer-doc-3.1.0-r0.apk | 123.6 KiB | 2025-12-10 17:06:24 |
| arc-darker-gtk3-20221218-r1.apk | 123.7 KiB | 2026-01-21 19:05:21 |
| hw-probe-1.6.6-r2.apk | 123.8 KiB | 2025-07-01 18:10:43 |
| remake-1.5-r1.apk | 124.4 KiB | 2024-10-25 19:08:23 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-10-25 19:08:24 |
| arc-lighter-gtk3-20221218-r1.apk | 124.5 KiB | 2026-01-21 19:05:21 |
| py3-marisa-trie-1.3.1-r0.apk | 124.9 KiB | 2025-08-29 09:31:53 |
| py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-10-25 19:08:15 |
| boost1.89-program_options-1.89.0-r1.apk | 125.3 KiB | 2026-01-19 00:22:15 |
| ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-10-25 19:07:16 |
| ustr-static-1.0.4-r1.apk | 125.8 KiB | 2024-10-25 19:09:05 |
| arc-gtk3-20221218-r1.apk | 125.8 KiB | 2026-01-21 19:05:21 |
| zapzap-pyc-6.0.1.8-r0.apk | 125.9 KiB | 2025-04-14 00:40:11 |
| libbamf-0.5.6-r1.apk | 126.3 KiB | 2024-10-25 19:07:32 |
| py3-msldap-0.5.15-r2.apk | 126.6 KiB | 2025-10-17 14:35:36 |
| topgit-0.19.13-r1.apk | 126.7 KiB | 2024-10-25 19:08:56 |
| py3-socketio-pyc-5.13.0-r0.apk | 126.7 KiB | 2025-09-09 13:17:34 |
| gtranslator-49.0-r0.apk | 126.8 KiB | 2025-09-16 04:50:29 |
| ruri-3.9.3-r0.apk | 127.1 KiB | 2025-10-27 08:30:47 |
| cdist-pyc-7.0.0-r6.apk | 127.6 KiB | 2024-10-25 19:06:01 |
| catfish-4.20.1-r0.apk | 127.8 KiB | 2025-07-07 19:21:35 |
| tinygltf-2.9.7-r0.apk | 127.9 KiB | 2025-11-02 20:50:53 |
| zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-10-25 19:09:12 |
| php81-soap-8.1.34-r1.apk | 128.3 KiB | 2026-01-14 03:13:20 |
| py3-github3-4.0.1-r1.apk | 128.3 KiB | 2024-10-25 19:08:15 |
| libsemanage-dev-3.6-r1.apk | 128.8 KiB | 2024-10-25 19:07:35 |
| py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-10-25 19:08:19 |
| py3-minidump-pyc-0.0.24-r1.apk | 129.1 KiB | 2025-05-29 11:58:14 |
| wayfire-dev-0.9.0-r0.apk | 129.2 KiB | 2025-02-27 20:17:05 |
| modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-10-25 19:07:49 |
| typobuster-1.0.0-r0.apk | 129.4 KiB | 2025-04-23 22:28:32 |
| transmission-remote-gtk-1.6.0-r0.apk | 129.6 KiB | 2024-10-25 19:08:56 |
| php82-soap-8.2.30-r2.apk | 129.9 KiB | 2026-01-14 03:13:20 |
| smplxmpp-0.9.3-r5.apk | 130.0 KiB | 2025-10-12 12:12:58 |
| libemf2svg-1.1.0-r3.apk | 130.2 KiB | 2025-10-08 10:22:43 |
| py3-dbus-fast-pyc-3.1.2-r0.apk | 130.2 KiB | 2025-11-23 21:15:40 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 130.3 KiB | 2025-07-15 20:03:25 |
| py3-chameleon-pyc-4.6.0-r0.apk | 130.6 KiB | 2025-07-06 09:18:13 |
| libretro-mu-0_git20220317-r0.apk | 130.9 KiB | 2024-10-25 19:07:34 |
| bdfr-2.6.2-r1.apk | 130.9 KiB | 2024-10-25 19:05:59 |
| php82-pecl-protobuf-4.33.4-r0.apk | 131.1 KiB | 2026-01-19 00:22:27 |
| php81-pecl-protobuf-4.33.4-r0.apk | 131.1 KiB | 2026-01-19 00:22:27 |
| py3-winacl-pyc-0.1.9-r1.apk | 131.2 KiB | 2025-05-29 11:58:14 |
| cgiirc-0.5.12-r1.apk | 131.8 KiB | 2024-10-25 19:06:02 |
| ffmpeg4-libswscale-4.4.6-r0.apk | 132.2 KiB | 2026-01-04 01:24:33 |
| debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-10-25 19:06:14 |
| motion-4.7.1-r0.apk | 132.6 KiB | 2025-09-27 21:20:19 |
| php81-intl-8.1.34-r1.apk | 132.7 KiB | 2026-01-14 03:13:20 |
| py3-pdoc-15.0.4-r0.apk | 132.8 KiB | 2025-10-12 21:19:22 |
| sdparm-1.12-r1.apk | 133.1 KiB | 2024-10-25 19:08:45 |
| py3-doit-pyc-0.36.0-r5.apk | 133.3 KiB | 2024-10-25 19:08:15 |
| mir-demos-2.25.2-r0.apk | 133.6 KiB | 2025-12-18 14:17:18 |
| lfm-pyc-3.1-r4.apk | 133.7 KiB | 2024-10-25 19:07:32 |
| w_scan2-1.0.17-r0.apk | 133.8 KiB | 2025-06-11 17:31:40 |
| rmlint-2.10.2-r2.apk | 134.2 KiB | 2024-10-25 19:08:24 |
| liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-10-25 19:07:34 |
| bootchart2-0.14.9-r1.apk | 134.4 KiB | 2025-11-17 15:33:15 |
| obnc-0.17.2-r0.apk | 134.5 KiB | 2025-05-25 23:37:11 |
| minigalaxy-pyc-1.4.0-r0.apk | 134.6 KiB | 2025-07-15 10:28:49 |
| pnmixer-0.7.2-r4.apk | 134.9 KiB | 2026-01-19 00:22:28 |
| perl-html-formhandler-0.40068-r0.apk | 135.5 KiB | 2025-05-11 11:57:51 |
| gatling-0.16-r6.apk | 135.5 KiB | 2024-10-25 19:07:15 |
| ovos-audio-1.0.1-r0.apk | 136.3 KiB | 2025-07-15 20:03:25 |
| b2-tools-pyc-4.5.0-r0.apk | 136.9 KiB | 2026-01-13 06:58:27 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 137.1 KiB | 2025-09-26 00:43:27 |
| lomiri-docviewer-app-lang-3.1.2-r0.apk | 137.6 KiB | 2025-08-19 20:08:07 |
| libtins-dev-4.5-r2.apk | 137.8 KiB | 2025-10-15 08:21:52 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 138.4 KiB | 2025-07-15 10:28:49 |
| getmail6-doc-6.19.10-r0.apk | 138.5 KiB | 2025-08-19 11:29:09 |
| boost1.89-graph-1.89.0-r1.apk | 138.7 KiB | 2026-01-19 00:22:15 |
| generate-kernel-cmdline-1.0-r0.apk | 138.7 KiB | 2026-01-22 23:37:46 |
| materia-gtk-theme-20210322-r4.apk | 138.9 KiB | 2026-01-20 04:56:24 |
| bochs-doc-2.8-r1.apk | 139.0 KiB | 2025-02-22 12:17:52 |
| py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-10-25 19:08:15 |
| rauc-1.10.1-r0.apk | 139.6 KiB | 2024-10-25 19:08:22 |
| motion-doc-4.7.1-r0.apk | 139.6 KiB | 2025-09-27 21:20:19 |
| libretro-frodo-0_git20221221-r0.apk | 140.0 KiB | 2024-10-25 19:07:34 |
| zutty-0.16-r0.apk | 140.1 KiB | 2025-01-12 21:01:00 |
| php81-pecl-xdebug-3.5.0-r0.apk | 140.4 KiB | 2025-12-04 22:56:38 |
| pidif-0.1-r1.apk | 140.6 KiB | 2024-10-25 19:08:09 |
| php82-pecl-xdebug-3.5.0-r0.apk | 140.7 KiB | 2025-12-04 22:56:38 |
| ttfautohint-dev-1.8.4-r0.apk | 140.7 KiB | 2024-10-25 19:09:04 |
| piper-tts-dev-2023.11.14.2-r14.apk | 141.0 KiB | 2025-07-15 10:28:49 |
| ace-of-penguins-1.4-r3.apk | 141.7 KiB | 2024-10-25 19:05:52 |
| klevernotes-lang-1.1.0-r0.apk | 142.1 KiB | 2024-10-25 19:07:29 |
| py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-10-25 19:08:18 |
| mapnik-doc-4.1.4-r1.apk | 143.0 KiB | 2026-01-14 03:13:18 |
| terminology-lang-1.14.0-r0.apk | 143.0 KiB | 2025-05-27 22:49:09 |
| nomadnet-0.8.0-r0.apk | 143.3 KiB | 2025-09-23 20:48:58 |
| py3-hishel-pyc-0.1.4-r0.apk | 143.5 KiB | 2025-10-15 12:50:10 |
| py3-opendht-3.1.11-r0.apk | 143.9 KiB | 2025-01-27 20:56:37 |
| libstirshaken-tools-0_git20240208-r4.apk | 144.4 KiB | 2025-05-11 11:57:48 |
| srain-1.8.1-r0.apk | 144.4 KiB | 2025-06-26 18:45:30 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 144.8 KiB | 2024-10-25 19:07:33 |
| font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-10-25 19:07:12 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 145.2 KiB | 2024-10-25 19:07:38 |
| wk-adblock-0.0.4-r5.apk | 145.9 KiB | 2024-10-25 19:09:07 |
| gearmand-1.1.22-r0.apk | 146.9 KiB | 2025-09-09 13:17:26 |
| py3-pelican-pyc-4.9.1-r2.apk | 147.3 KiB | 2024-10-25 19:08:18 |
| perl-dancer2-2.0.1-r0.apk | 147.7 KiB | 2026-01-24 10:28:49 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-10-25 19:08:07 |
| cscope-15.9-r1.apk | 147.8 KiB | 2024-10-25 19:06:06 |
| perl-ffi-platypus-doc-2.11-r0.apk | 148.0 KiB | 2026-01-13 05:59:10 |
| php82-intl-8.2.30-r2.apk | 148.4 KiB | 2026-01-14 03:13:20 |
| openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-10-25 19:08:03 |
| trace-cmd-3.3.1-r1.apk | 148.7 KiB | 2025-01-25 07:04:23 |
| libretro-opera-0_git20211214-r0.apk | 148.8 KiB | 2024-10-25 19:07:34 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-01-10 15:33:43 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 149.1 KiB | 2024-10-25 19:07:38 |
| log4cxx-dev-1.5.0-r0.apk | 149.3 KiB | 2026-01-06 07:26:28 |
| click-0.5.2-r4.apk | 149.5 KiB | 2025-02-22 12:17:53 |
| burp-3.2.0-r0.apk | 149.6 KiB | 2026-01-19 00:22:15 |
| perl-catalyst-runtime-5.90132-r0.apk | 149.7 KiB | 2025-03-19 11:44:15 |
| convert2json-rsv-json-2.4.1-r0.apk | 149.8 KiB | 2025-12-15 16:19:08 |
| hiawatha-11.6-r1.apk | 150.2 KiB | 2025-05-27 11:06:54 |
| libretro-gw-0_git20220410-r0.apk | 150.3 KiB | 2024-10-25 19:07:34 |
| vit-pyc-2.3.2-r1.apk | 151.5 KiB | 2024-10-25 19:09:06 |
| lumina-desktop-archiver-1.6.2-r0.apk | 151.8 KiB | 2024-10-25 19:07:38 |
| malcontent-0.13.1-r0.apk | 151.9 KiB | 2025-09-09 13:17:32 |
| bionic_translation-dbg-0_git20251125-r0.apk | 152.1 KiB | 2026-01-08 12:54:15 |
| mimedefang-3.6-r1.apk | 152.5 KiB | 2025-10-08 10:22:43 |
| py3-caldav-pyc-2.2.3-r0.apk | 153.9 KiB | 2025-12-07 19:13:40 |
| libzrtpcpp-4.7.0-r0.apk | 154.0 KiB | 2025-01-04 21:55:13 |
| py3-html5-parser-0.4.12-r1.apk | 154.1 KiB | 2024-10-25 19:08:15 |
| pithos-pyc-1.6.1-r0.apk | 154.3 KiB | 2024-10-25 19:08:11 |
| liboggz-dev-1.1.1-r2.apk | 154.4 KiB | 2024-10-25 19:07:34 |
| py3-rst2pdf-0.102-r0.apk | 154.5 KiB | 2025-06-10 19:48:27 |
| heisenbridge-pyc-1.15.4-r0.apk | 155.0 KiB | 2025-10-08 10:22:43 |
| py3-minio-pyc-7.2.18-r0.apk | 155.1 KiB | 2025-11-10 03:17:53 |
| py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-10-25 19:08:15 |
| py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-10-25 19:08:18 |
| thefuck-pyc-3.32-r5.apk | 155.7 KiB | 2024-10-25 19:08:54 |
| olsrd-0.9.8-r3.apk | 155.7 KiB | 2024-10-25 19:08:02 |
| hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-10-25 19:07:22 |
| boost1.89-json-1.89.0-r1.apk | 156.1 KiB | 2026-01-19 00:22:15 |
| zpaq-7.15-r0.apk | 156.3 KiB | 2025-10-09 03:50:53 |
| libsimplebluez-0.10.4-r0.apk | 156.4 KiB | 2026-01-13 03:12:45 |
| py3-simplesat-pyc-0.8.2-r0.apk | 156.6 KiB | 2024-10-25 19:08:19 |
| wok-3.0.0-r6.apk | 156.6 KiB | 2024-10-25 19:09:08 |
| nb-7.24.0-r0.apk | 157.1 KiB | 2026-01-21 19:05:22 |
| convert2json-rsv-jaq-2.4.1-r0.apk | 157.7 KiB | 2025-12-15 16:19:08 |
| aufs-util-20161219-r3.apk | 159.0 KiB | 2024-10-25 19:05:58 |
| libcec-rpi-6.0.2-r4.apk | 159.2 KiB | 2024-10-25 19:07:32 |
| mongo-cxx-driver-3.8.0-r0.apk | 159.3 KiB | 2024-10-25 19:07:51 |
| petitboot-1.15-r1.apk | 160.1 KiB | 2026-01-19 00:22:27 |
| libretro-gme-0_git20240628-r0.apk | 160.3 KiB | 2024-10-25 19:07:34 |
| kodi-game-libretro-frodo-0.0.1.25-r0.apk | 160.4 KiB | 2024-10-25 19:07:30 |
| wolfssh-dev-1.4.17-r2.apk | 160.5 KiB | 2026-01-03 23:39:54 |
| mspdebug-0.25-r1.apk | 160.7 KiB | 2024-10-25 19:07:51 |
| py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-10-25 19:08:15 |
| opendht-3.1.11-r0.apk | 161.5 KiB | 2025-01-27 20:56:37 |
| makedumpfile-1.7.8-r0.apk | 162.0 KiB | 2025-11-05 14:24:57 |
| par2cmdline-turbo-1.3.0-r0.apk | 162.1 KiB | 2025-05-11 11:57:51 |
| kdiskmark-3.2.0-r0.apk | 162.5 KiB | 2025-07-01 18:10:43 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.5 KiB | 2024-10-25 19:08:18 |
| py3-apt-3.1.0-r0.apk | 164.0 KiB | 2025-12-21 03:05:46 |
| fava-pyc-1.28-r0.apk | 164.1 KiB | 2024-10-25 19:07:11 |
| olsrd-plugins-0.9.8-r3.apk | 164.4 KiB | 2024-10-25 19:08:02 |
| lcalc-2.1.0-r0.apk | 164.5 KiB | 2025-05-11 11:57:48 |
| soundconverter-4.1.1-r0.apk | 164.5 KiB | 2025-07-29 13:12:29 |
| mmix-0_git20221025-r0.apk | 164.7 KiB | 2024-10-25 19:07:49 |
| sydbox-doc-3.45.2-r0.apk | 165.3 KiB | 2025-12-03 02:58:35 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 165.5 KiB | 2025-07-15 19:29:58 |
| zvbi-0.2.44-r0.apk | 165.7 KiB | 2025-03-11 20:40:03 |
| proverif-examples-2.05-r1.apk | 165.8 KiB | 2026-01-11 22:38:51 |
| mame-plugins-0.251-r0.apk | 166.3 KiB | 2024-10-25 19:07:44 |
| py3-cryptg-0.5.0-r0.apk | 166.4 KiB | 2025-05-11 11:57:51 |
| catfish-lang-4.20.1-r0.apk | 166.7 KiB | 2025-07-07 19:21:35 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 166.8 KiB | 2025-07-15 19:34:43 |
| dnssec-tools-dev-2.2.3-r13.apk | 167.4 KiB | 2025-07-01 18:10:43 |
| lomiri-ui-toolkit-dev-1.3.5110-r5.apk | 167.8 KiB | 2025-12-18 14:17:18 |
| php81-pecl-redis-6.3.0-r0.apk | 167.9 KiB | 2025-11-07 16:49:17 |
| py3-pdoc-pyc-15.0.4-r0.apk | 169.0 KiB | 2025-10-12 21:19:22 |
| php82-pecl-redis-6.3.0-r0.apk | 169.4 KiB | 2025-11-07 16:49:17 |
| endeavour-43.0-r2.apk | 169.5 KiB | 2024-12-08 21:36:41 |
| qqc2-suru-style-0.20230206-r1.apk | 169.9 KiB | 2024-10-25 19:08:21 |
| py3-pdal-3.5.3-r0.apk | 170.0 KiB | 2026-01-19 16:04:32 |
| libxo-1.7.5-r0.apk | 170.2 KiB | 2025-01-12 22:45:44 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 170.3 KiB | 2025-10-18 21:03:16 |
| py3-netifaces2-0.0.22-r0.apk | 170.8 KiB | 2024-10-25 19:08:18 |
| convert2json-ini-json-2.4.1-r0.apk | 170.9 KiB | 2025-12-15 16:19:08 |
| trace-cmd-doc-3.3.1-r1.apk | 171.3 KiB | 2025-01-25 07:04:23 |
| vera++-1.3.0-r11.apk | 171.8 KiB | 2025-10-12 14:25:38 |
| kimchi-lang-3.0.0-r8.apk | 171.9 KiB | 2025-02-10 21:12:02 |
| py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-10-25 19:08:15 |
| commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-10-25 19:06:04 |
| sedutil-1.15.1-r1.apk | 173.3 KiB | 2024-10-25 19:08:46 |
| hdf4-tools-4.2.15-r2.apk | 173.3 KiB | 2024-10-25 19:07:23 |
| py3-langcodes-3.3.0-r2.apk | 173.5 KiB | 2024-10-25 19:08:15 |
| perl-extutils-makemaker-7.76-r0.apk | 174.2 KiB | 2025-08-16 14:18:49 |
| lumina-desktop-textedit-1.6.2-r0.apk | 174.5 KiB | 2024-10-25 19:07:38 |
| click-pyc-0.5.2-r4.apk | 174.8 KiB | 2025-02-22 12:17:53 |
| ptpd-2.3.1-r1.apk | 174.9 KiB | 2024-10-25 19:08:14 |
| perl-ffi-platypus-2.11-r0.apk | 175.5 KiB | 2026-01-13 05:59:10 |
| py3-libguestfs-1.56.1-r0.apk | 175.8 KiB | 2025-07-24 13:42:50 |
| plplot-libs-5.15.0-r2.apk | 176.0 KiB | 2024-10-25 19:08:11 |
| convert2json-ini-jaq-2.4.1-r0.apk | 177.5 KiB | 2025-12-15 16:19:08 |
| libettercap-0.8.3.1-r3.apk | 177.7 KiB | 2024-10-25 19:07:33 |
| mjpg-streamer-0_git20210220-r2.apk | 178.4 KiB | 2025-05-14 15:03:43 |
| py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-10-25 19:08:19 |
| subliminal-pyc-2.4.0-r0.apk | 179.0 KiB | 2025-11-24 00:03:15 |
| py3-apicula-pyc-0.11.1-r1.apk | 179.5 KiB | 2024-10-25 19:08:15 |
| pympress-1.8.6-r0.apk | 179.5 KiB | 2025-11-10 05:05:46 |
| way-secure-0.2.0-r0.apk | 179.6 KiB | 2025-04-18 22:10:43 |
| freediameter-libfdcore-1.5.0-r1.apk | 179.6 KiB | 2024-10-25 19:07:15 |
| onionshare-2.6.3-r1.apk | 179.6 KiB | 2025-11-17 15:33:27 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 179.7 KiB | 2025-08-19 20:07:42 |
| kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 180.0 KiB | 2024-10-25 19:07:30 |
| py3-qpageview-pyc-0.6.2-r1.apk | 180.2 KiB | 2024-10-25 19:08:19 |
| libvmaf-dev-3.0.0-r0.apk | 180.7 KiB | 2024-10-25 19:07:35 |
| linkchecker-10.6.0-r0.apk | 181.1 KiB | 2025-08-10 11:39:00 |
| qt6ct-0.11-r2.apk | 181.7 KiB | 2025-12-04 13:54:43 |
| pympress-pyc-1.8.6-r0.apk | 181.8 KiB | 2025-11-10 05:05:46 |
| convert2json-xml-json-2.4.1-r0.apk | 182.9 KiB | 2025-12-15 16:19:08 |
| android-file-transfer-4.5-r0.apk | 183.0 KiB | 2025-06-24 23:02:51 |
| amber-mpris-1.2.9-r0.apk | 183.4 KiB | 2024-12-22 17:00:02 |
| lomiri-libusermetrics-1.4.0-r0.apk | 184.3 KiB | 2025-12-10 17:06:24 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 184.7 KiB | 2024-10-25 19:07:38 |
| py3-xsdata-26.1-r0.apk | 184.8 KiB | 2026-01-20 11:16:46 |
| convert2json-messagepack-json-2.4.1-r0.apk | 185.7 KiB | 2025-12-15 16:19:08 |
| py3-asyauth-pyc-0.0.23-r0.apk | 185.7 KiB | 2025-11-03 06:49:14 |
| guake-pyc-3.10.1-r0.apk | 186.2 KiB | 2025-11-24 18:07:55 |
| py3-m2crypto-0.41.0-r2.apk | 186.2 KiB | 2024-10-25 19:08:17 |
| kontainer-1.0.1-r0.apk | 186.3 KiB | 2025-06-20 04:10:10 |
| py3-ly-0.9.9-r0.apk | 186.6 KiB | 2025-07-15 10:28:49 |
| horizon-0.9.6-r9.apk | 186.8 KiB | 2024-10-25 19:07:24 |
| libcob4-3.2-r0.apk | 187.4 KiB | 2025-07-28 14:02:39 |
| purple-hangouts-0_git20200422-r0.apk | 187.7 KiB | 2024-10-25 19:08:14 |
| belcard-libs-5.3.105-r0.apk | 188.3 KiB | 2025-02-25 12:48:31 |
| gearmand-doc-1.1.22-r0.apk | 189.0 KiB | 2025-09-09 13:17:26 |
| convert2json-cbor-json-2.4.1-r0.apk | 189.1 KiB | 2025-12-15 16:19:08 |
| convert2json-xml-jaq-2.4.1-r0.apk | 189.1 KiB | 2025-12-15 16:19:08 |
| nim-cligen-1.9.5-r0.apk | 189.1 KiB | 2026-01-24 13:35:19 |
| gmid-2.1.1-r1.apk | 189.3 KiB | 2026-01-12 17:39:04 |
| sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-10-25 19:08:52 |
| psftools-1.1.2-r0.apk | 189.7 KiB | 2024-10-25 19:08:14 |
| nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-10-25 19:07:54 |
| py3-edalize-pyc-0.5.4-r0.apk | 190.2 KiB | 2024-10-25 19:08:15 |
| 66-doc-0.8.2.1-r0.apk | 190.4 KiB | 2025-06-03 19:11:19 |
| corosync-doc-3.1.10-r0.apk | 190.6 KiB | 2025-12-27 10:37:30 |
| php81-pecl-timezonedb-2025.2-r0.apk | 190.6 KiB | 2025-03-27 11:06:39 |
| php82-pecl-timezonedb-2025.2-r0.apk | 190.7 KiB | 2025-10-24 02:07:04 |
| lomiri-thumbnailer-3.1.0-r0.apk | 190.8 KiB | 2025-12-10 17:06:24 |
| py3-avro-pyc-1.11.3-r1.apk | 191.4 KiB | 2024-10-25 19:08:15 |
| buildbot-worker-4.3.0-r1.apk | 191.4 KiB | 2026-01-23 15:51:28 |
| convert2json-messagepack-jaq-2.4.1-r0.apk | 191.7 KiB | 2025-12-15 16:19:08 |
| py3-netmiko-4.6.0-r0.apk | 191.9 KiB | 2025-08-13 15:31:09 |
| pyinfra-3.3.1-r0.apk | 192.0 KiB | 2025-07-16 08:06:41 |
| anari-sdk-static-0.7.2-r0.apk | 192.6 KiB | 2024-10-25 19:05:54 |
| py3-slidge-style-parser-0.1.9-r0.apk | 193.0 KiB | 2025-04-12 12:23:08 |
| guake-lang-3.10.1-r0.apk | 194.3 KiB | 2025-11-24 18:07:55 |
| convert2json-cbor-jaq-2.4.1-r0.apk | 195.1 KiB | 2025-12-15 16:19:08 |
| gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-10-25 19:07:16 |
| py3-owslib-0.35.0-r0.apk | 195.3 KiB | 2025-11-02 19:15:12 |
| spread-sheet-widget-dbg-0.10-r0.apk | 195.4 KiB | 2024-10-25 19:08:49 |
| trantor-1.5.18-r0.apk | 196.0 KiB | 2024-10-25 19:08:56 |
| libretro-cannonball-0_git20220309-r6.apk | 196.6 KiB | 2024-10-25 19:07:34 |
| linux-apfs-rw-src-0.3.8-r0.apk | 197.0 KiB | 2024-10-25 19:07:36 |
| minigalaxy-1.4.0-r0.apk | 197.3 KiB | 2025-07-15 10:28:49 |
| kodi-peripheral-joystick-20.1.9-r0.apk | 197.5 KiB | 2024-10-25 19:07:30 |
| boinc-libs-7.24.3-r0.apk | 197.6 KiB | 2024-10-25 19:06:00 |
| perl-sys-virt-11.10.0-r0.apk | 198.5 KiB | 2025-12-03 16:02:54 |
| jotdown-0.7.0-r0.apk | 198.6 KiB | 2025-03-11 20:12:03 |
| font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-10-25 19:07:13 |
| lomiri-indicator-network-lang-1.1.2-r0.apk | 199.4 KiB | 2025-09-09 13:17:31 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 200.3 KiB | 2025-10-24 02:07:04 |
| pigpio-79-r4.apk | 200.5 KiB | 2024-10-25 19:08:11 |
| glfw-wayland-dbg-3.3.8-r3.apk | 201.0 KiB | 2024-10-25 19:07:16 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 201.1 KiB | 2024-11-11 01:44:24 |
| mimalloc1-debug-1.9.4-r0.apk | 201.3 KiB | 2025-11-05 14:28:38 |
| remake-doc-1.5-r1.apk | 201.7 KiB | 2024-10-25 19:08:23 |
| ssh-studio-1.3.1-r0.apk | 202.4 KiB | 2025-10-12 20:35:52 |
| zydis-4.1.0-r0.apk | 202.9 KiB | 2024-10-25 19:09:12 |
| endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-12-08 21:36:41 |
| libzvbi-0.2.44-r0.apk | 203.2 KiB | 2025-03-11 20:40:02 |
| csfml-doc-2.5.2-r0.apk | 204.0 KiB | 2024-10-25 19:06:06 |
| telegram-tdlib-dev-1.8.60-r0.apk | 204.1 KiB | 2026-01-19 00:22:33 |
| draco-dev-1.5.7-r2.apk | 204.7 KiB | 2025-02-22 12:17:56 |
| font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-10-25 19:07:12 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-10-25 19:07:22 |
| mint-y-theme-xfwm4-2.3.7-r1.apk | 205.7 KiB | 2026-01-24 14:18:44 |
| py3-rst2pdf-pyc-0.102-r0.apk | 205.8 KiB | 2025-06-10 19:48:27 |
| mrsh-dbg-0_git20210518-r1.apk | 206.0 KiB | 2024-10-25 19:07:51 |
| convert2json-csv-json-2.4.1-r0.apk | 206.3 KiB | 2025-12-15 16:19:08 |
| devil-1.8.0-r0.apk | 206.3 KiB | 2024-10-25 19:06:14 |
| ginger-pyc-2.4.0-r7.apk | 206.8 KiB | 2024-10-25 19:07:16 |
| moosefs-chunkserver-4.56.6-r2.apk | 207.2 KiB | 2025-06-20 04:10:15 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk | 207.9 KiB | 2024-10-25 19:08:16 |
| tup-0.7.11-r1.apk | 208.7 KiB | 2025-06-20 04:10:16 |
| qt5ct-1.9-r0.apk | 208.7 KiB | 2025-11-20 03:26:01 |
| hfst-dev-3.16.2-r1.apk | 209.1 KiB | 2026-01-14 03:13:18 |
| lomiri-docviewer-app-3.1.2-r0.apk | 211.0 KiB | 2025-08-19 20:08:07 |
| objconv-2.52_git20210213-r2.apk | 211.3 KiB | 2024-10-25 19:07:55 |
| pdal-python-plugins-1.6.5-r0.apk | 211.8 KiB | 2025-06-21 21:46:11 |
| convert2json-csv-jaq-2.4.1-r0.apk | 212.5 KiB | 2025-12-15 16:19:08 |
| lomiri-clock-app-4.1.1-r0.apk | 213.0 KiB | 2025-05-11 11:57:50 |
| py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-10-25 19:08:19 |
| lomiri-weather-app-lang-6.0.2-r0.apk | 214.0 KiB | 2025-03-11 12:02:11 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 215.0 KiB | 2025-05-22 06:51:53 |
| lomiri-settings-components-1.1.3-r0.apk | 215.5 KiB | 2025-10-25 20:19:19 |
| py3-dateparser-1.2.2-r0.apk | 216.2 KiB | 2025-07-06 19:46:20 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 216.3 KiB | 2025-03-19 11:44:15 |
| pam-pkcs11-0.6.13-r1.apk | 217.4 KiB | 2025-11-17 15:33:27 |
| tmate-2.4.0-r4.apk | 217.7 KiB | 2024-10-25 19:08:55 |
| ruby-facter-4.10.0-r0.apk | 218.1 KiB | 2025-07-28 02:04:36 |
| java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-10-25 19:07:26 |
| snapper-lang-0.13.0-r0.apk | 219.0 KiB | 2025-09-19 14:53:45 |
| cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2024-10-25 19:06:06 |
| py3-spnego-pyc-0.11.2-r0.apk | 219.1 KiB | 2025-01-16 07:51:54 |
| gtimelog-0.12_git20251114-r0.apk | 219.3 KiB | 2025-11-18 20:35:09 |
| nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-10-25 19:07:55 |
| convert2json-bson-json-2.4.1-r0.apk | 220.2 KiB | 2025-12-15 16:19:08 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.6 KiB | 2024-10-25 19:08:52 |
| py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-10-25 19:08:15 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-10-25 19:08:16 |
| edit-1.2.1-r0.apk | 221.8 KiB | 2025-10-16 13:17:18 |
| vmlinux.h-6.18-r0.apk | 221.8 KiB | 2025-12-16 22:34:53 |
| convert2json-toml-json-2.4.1-r0.apk | 222.5 KiB | 2025-12-15 16:19:08 |
| py3-cmd2-pyc-2.4.3-r2.apk | 222.6 KiB | 2024-10-25 19:08:15 |
| scap-workbench-1.2.1-r3.apk | 222.7 KiB | 2024-10-25 19:08:42 |
| irccd-4.0.3-r0.apk | 222.8 KiB | 2024-10-25 19:07:25 |
| gmic-doc-3.6.0-r2.apk | 222.9 KiB | 2026-01-03 16:23:02 |
| advancescan-1.18-r1.apk | 223.0 KiB | 2024-10-25 19:05:53 |
| convert2json-plist-json-2.4.1-r0.apk | 224.0 KiB | 2025-12-15 16:19:08 |
| py3-pynest2d-5.2.2-r5.apk | 224.1 KiB | 2025-02-06 04:42:24 |
| swayhide-0.2.1-r2.apk | 224.3 KiB | 2024-10-25 19:08:52 |
| vimv-3.1.0-r0.apk | 224.4 KiB | 2025-10-12 21:20:00 |
| warpinator-2.0.3-r0.apk | 224.7 KiB | 2026-01-19 00:22:37 |
| turntable-0.5.1-r0.apk | 226.2 KiB | 2026-01-06 13:43:27 |
| convert2json-bson-jaq-2.4.1-r0.apk | 226.2 KiB | 2025-12-15 16:19:08 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 226.4 KiB | 2025-07-24 13:42:50 |
| river-luatile-0.1.4-r0.apk | 226.7 KiB | 2025-05-19 12:22:16 |
| py3-github3-pyc-4.0.1-r1.apk | 227.0 KiB | 2024-10-25 19:08:15 |
| py3-flask-security-pyc-5.6.2-r0.apk | 227.2 KiB | 2025-11-10 03:16:50 |
| py3-b2sdk-2.10.2-r0.apk | 227.5 KiB | 2025-12-16 18:41:41 |
| lomiri-libusermetrics-doc-1.4.0-r0.apk | 227.7 KiB | 2025-12-10 17:06:24 |
| getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-12-08 21:36:41 |
| convert2json-toml-jaq-2.4.1-r0.apk | 228.8 KiB | 2025-12-15 16:19:08 |
| py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-10-25 19:08:15 |
| convert2json-plist-jaq-2.4.1-r0.apk | 230.2 KiB | 2025-12-15 16:19:08 |
| lomiri-ui-extras-0.8.0-r0.apk | 230.2 KiB | 2025-12-10 17:06:24 |
| gl2ps-doc-1.4.2-r0.apk | 230.2 KiB | 2025-10-12 21:33:12 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk | 230.3 KiB | 2024-10-25 19:08:16 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.6 KiB | 2025-09-29 06:12:46 |
| commoncpp-7.0.1-r1.apk | 231.4 KiB | 2024-10-25 19:06:04 |
| timew-1.4.3-r1.apk | 231.5 KiB | 2024-10-25 19:08:55 |
| yaru-shell-25.10.3-r0.apk | 232.2 KiB | 2026-01-06 05:46:08 |
| lcalc-libs-2.1.0-r0.apk | 232.3 KiB | 2025-05-11 11:57:48 |
| chocolate-doom-doc-3.1.1-r0.apk | 232.6 KiB | 2025-08-19 01:30:36 |
| libre-4.4.0-r0.apk | 232.7 KiB | 2026-01-24 12:59:20 |
| solanum-6.0.0-r0.apk | 233.0 KiB | 2025-04-11 18:19:16 |
| oblibs-dev-0.3.4.0-r0.apk | 233.1 KiB | 2025-06-01 15:47:04 |
| mediascanner2-0.118-r4.apk | 233.2 KiB | 2025-11-17 15:33:23 |
| py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-10-25 19:08:18 |
| gst-audio-thumbnailer-1.0_alpha3-r0.apk | 236.0 KiB | 2026-01-19 00:22:20 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-10-25 19:08:19 |
| postgresql-pgmq-1.1.1-r1.apk | 236.3 KiB | 2024-10-25 19:08:12 |
| sigma-0.23.1-r1.apk | 236.8 KiB | 2024-10-25 19:08:46 |
| toybox-0.8.13-r0.apk | 238.6 KiB | 2025-10-16 10:30:34 |
| corosync-3.1.10-r0.apk | 239.8 KiB | 2025-12-27 10:37:30 |
| budgie-screensaver-lang-5.1.0-r0.apk | 240.2 KiB | 2025-10-16 17:07:38 |
| onionshare-pyc-2.6.3-r1.apk | 240.5 KiB | 2025-11-17 15:33:27 |
| kodi-game-libretro-atari800-3.1.0.28-r0.apk | 240.7 KiB | 2024-10-25 19:07:30 |
| hdf4-4.2.15-r2.apk | 240.8 KiB | 2024-10-25 19:07:23 |
| cluster-glue-1.0.12-r5.apk | 242.2 KiB | 2024-10-25 19:06:04 |
| timewarrior-1.7.1-r0.apk | 242.3 KiB | 2024-10-25 19:08:55 |
| opmsg-1.84-r1.apk | 242.6 KiB | 2024-10-25 19:08:04 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 242.6 KiB | 2024-10-25 19:08:15 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 243.1 KiB | 2025-10-15 01:02:31 |
| libopensles-standalone-dbg-0_git20250913-r0.apk | 243.5 KiB | 2025-09-22 14:14:58 |
| libgivaro-dev-4.2.0-r2.apk | 244.4 KiB | 2024-10-25 19:07:33 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 244.5 KiB | 2024-10-25 19:08:15 |
| magpie-dev-0.9.4-r0.apk | 246.3 KiB | 2025-10-16 17:07:38 |
| hatch-pyc-1.16.1-r0.apk | 246.5 KiB | 2025-11-30 20:01:51 |
| boost1.89-unit_test_framework-1.89.0-r1.apk | 247.6 KiB | 2026-01-19 00:22:15 |
| convert2json-yaml-json-2.4.1-r0.apk | 248.2 KiB | 2025-12-15 16:19:08 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-10-25 19:07:49 |
| mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-10-25 19:07:49 |
| font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-10-25 19:07:12 |
| gst-video-thumbnailer-1.0_alpha3-r0.apk | 248.8 KiB | 2026-01-19 00:22:20 |
| libretro-nxengine-0_git20220301-r0.apk | 249.2 KiB | 2024-10-25 19:07:34 |
| linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-10-25 19:07:36 |
| libretro-crocods-0_git20210314-r1.apk | 249.9 KiB | 2024-10-25 19:07:34 |
| libretro-atari800-0_git20240924-r0.apk | 250.1 KiB | 2024-10-25 19:07:34 |
| biboumi-9.0-r9.apk | 250.9 KiB | 2025-10-08 10:22:39 |
| font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-10-25 19:07:12 |
| lomiri-content-hub-2.2.1-r0.apk | 251.7 KiB | 2025-12-10 17:06:24 |
| py3-scrapy-2.13.4-r0.apk | 251.8 KiB | 2026-01-05 22:27:56 |
| perl-badger-0.16-r1.apk | 253.1 KiB | 2025-11-11 23:13:42 |
| sfwbar-1.0_beta161-r0.apk | 253.5 KiB | 2025-07-31 13:29:01 |
| speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-10-25 19:08:48 |
| linkchecker-pyc-10.6.0-r0.apk | 253.7 KiB | 2025-08-10 11:39:00 |
| convert2json-yaml-jaq-2.4.1-r0.apk | 254.3 KiB | 2025-12-15 16:19:08 |
| avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-10-25 19:05:59 |
| libzvbi-static-0.2.44-r0.apk | 255.6 KiB | 2025-03-11 20:40:02 |
| ginger-2.4.0-r7.apk | 257.1 KiB | 2024-10-25 19:07:16 |
| hex-0.6.0-r0.apk | 257.9 KiB | 2024-10-25 19:07:24 |
| dcmtk-doc-3.7.0-r0.apk | 258.2 KiB | 2025-12-28 20:54:41 |
| appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-11-12 21:55:19 |
| uasm-2.56.2-r0.apk | 258.8 KiB | 2024-10-25 19:09:04 |
| waylevel-1.0.0-r1.apk | 259.8 KiB | 2024-10-25 19:09:07 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-10-25 19:07:49 |
| litehtml-0.9-r2.apk | 260.3 KiB | 2025-02-22 12:17:57 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 260.7 KiB | 2024-10-25 19:07:34 |
| moosefs-4.56.6-r2.apk | 261.9 KiB | 2025-06-20 04:10:15 |
| platformio-core-6.1.7-r3.apk | 262.5 KiB | 2024-10-25 19:08:11 |
| libqofono-qt5-0.124-r0.apk | 262.7 KiB | 2025-01-10 15:33:43 |
| perl-badger-doc-0.16-r1.apk | 262.8 KiB | 2025-11-11 23:13:42 |
| libtins-4.5-r2.apk | 263.3 KiB | 2025-10-15 08:21:52 |
| ovn-doc-25.09.2-r0.apk | 263.7 KiB | 2025-12-14 22:05:19 |
| boost1.89-log-1.89.0-r1.apk | 264.2 KiB | 2026-01-19 00:22:15 |
| font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-10-25 19:07:12 |
| nfcd-1.2.4-r0.apk | 265.7 KiB | 2025-12-31 16:43:06 |
| restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-12-14 19:23:33 |
| nwipe-0.39-r0.apk | 267.9 KiB | 2025-12-05 02:01:06 |
| xgalaga-2.1.1.0-r1.apk | 269.3 KiB | 2024-10-25 19:09:09 |
| lomiri-lang-0.5.0-r4.apk | 269.3 KiB | 2025-12-18 14:17:18 |
| snapraid-13.0-r0.apk | 269.4 KiB | 2025-10-31 21:40:36 |
| lizardfs-chunkserver-3.13.0-r17.apk | 269.7 KiB | 2025-06-20 04:10:15 |
| nwg-panel-pyc-0.10.13-r0.apk | 269.7 KiB | 2025-11-28 13:29:40 |
| xtensor-0.27.0-r0.apk | 269.7 KiB | 2025-08-25 06:40:19 |
| warpinator-lang-2.0.3-r0.apk | 272.7 KiB | 2026-01-19 00:22:37 |
| csmith-2.3.0-r2.apk | 273.2 KiB | 2024-10-25 19:06:06 |
| py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-10-25 19:08:14 |
| ffmpeg4-libavutil-4.4.6-r0.apk | 274.8 KiB | 2026-01-04 01:24:33 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.8 KiB | 2024-10-25 19:08:19 |
| lynis-3.1.4-r0.apk | 275.7 KiB | 2025-07-29 08:11:28 |
| gaupol-1.12-r2.apk | 276.2 KiB | 2024-10-25 19:07:15 |
| guestfs-tools-1.56.1-r0.apk | 276.4 KiB | 2025-07-24 13:42:48 |
| gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-10-25 19:07:15 |
| masky-0.2.0-r2.apk | 276.9 KiB | 2025-05-29 11:58:13 |
| boost1.89-log_setup-1.89.0-r1.apk | 277.2 KiB | 2026-01-19 00:22:15 |
| kodi-pvr-hts-21.2.6-r0.apk | 277.2 KiB | 2025-03-02 16:17:22 |
| yosys-dev-0.57-r0.apk | 277.3 KiB | 2025-10-24 21:21:02 |
| biometryd-0.3.3-r0.apk | 277.5 KiB | 2025-12-10 17:06:24 |
| trigger-rally-0.6.7-r3.apk | 277.9 KiB | 2025-03-25 15:39:33 |
| welle-cli-2.7-r0.apk | 278.2 KiB | 2025-04-07 18:04:05 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 278.5 KiB | 2025-05-22 06:51:53 |
| py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-10-25 19:08:15 |
| bash-pinyin-completion-rs-1.0.4-r0.apk | 279.2 KiB | 2026-01-20 14:21:22 |
| py3-tpm2-pytss-2.3.0-r1.apk | 280.1 KiB | 2024-10-25 19:08:19 |
| anari-sdk-0.7.2-r0.apk | 280.3 KiB | 2024-10-25 19:05:54 |
| ffmpeg4-dev-4.4.6-r0.apk | 280.8 KiB | 2026-01-04 01:24:33 |
| vectoroids-1.1.0-r2.apk | 280.8 KiB | 2024-10-25 19:09:06 |
| bees-0.10-r2.apk | 281.3 KiB | 2024-10-25 19:05:59 |
| font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-10-25 19:07:13 |
| amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-10-25 19:05:54 |
| pw-volume-0.5.0-r1.apk | 281.6 KiB | 2024-10-25 19:08:14 |
| libblastrampoline-5.2.0-r0.apk | 281.8 KiB | 2024-10-25 19:07:32 |
| fileshelter-6.2.0-r4.apk | 282.6 KiB | 2025-09-27 22:22:02 |
| tree-sitter-haskell-0.23.1-r0.apk | 282.6 KiB | 2025-01-09 15:53:26 |
| mkosi-26-r1.apk | 283.1 KiB | 2025-12-27 17:17:24 |
| projectm-sdl-3.1.12-r2.apk | 283.1 KiB | 2024-10-25 19:08:13 |
| boost1.89-locale-1.89.0-r1.apk | 283.8 KiB | 2026-01-19 00:22:15 |
| komikku-lang-1.85.0-r0.apk | 284.3 KiB | 2025-08-22 08:07:18 |
| foma-0.10.0_git20240712-r0.apk | 284.4 KiB | 2024-10-25 19:07:12 |
| nomadnet-pyc-0.8.0-r0.apk | 284.6 KiB | 2025-09-23 20:48:58 |
| gpscorrelate-doc-2.3-r0.apk | 285.0 KiB | 2025-03-27 06:36:12 |
| habitctl-0.1.0-r2.apk | 285.2 KiB | 2024-10-25 19:07:22 |
| py3-imageio-2.37.0-r0.apk | 285.5 KiB | 2025-07-15 10:28:49 |
| libretro-cap32-0_git20220419-r0.apk | 285.7 KiB | 2024-10-25 19:07:34 |
| py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-10-25 19:08:15 |
| perl-imager-doc-1.028-r1.apk | 286.4 KiB | 2025-07-01 18:10:43 |
| lomiri-app-launch-0.1.12-r5.apk | 286.6 KiB | 2025-12-18 14:17:18 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 287.3 KiB | 2025-11-03 06:49:14 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-10-25 19:08:07 |
| nwg-panel-0.10.13-r0.apk | 288.0 KiB | 2025-11-28 13:29:40 |
| vixl-8.0.0-r0.apk | 288.9 KiB | 2025-07-15 18:06:02 |
| openwsman-libs-2.8.1-r1.apk | 290.2 KiB | 2025-07-01 18:10:43 |
| emacs-ement-0.16-r0.apk | 290.7 KiB | 2025-04-23 05:11:13 |
| hardinfo2-lang-2.2.13-r0.apk | 291.7 KiB | 2025-09-28 12:06:15 |
| py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-10-25 19:08:18 |
| lomiri-weather-app-6.0.2-r0.apk | 295.1 KiB | 2025-03-11 12:02:11 |
| py3-migen-pyc-0.9.2-r2.apk | 295.6 KiB | 2024-10-25 19:08:18 |
| py3-flask-security-5.6.2-r0.apk | 296.7 KiB | 2025-11-10 03:16:50 |
| percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-10-25 19:08:07 |
| boost1.89-wave-1.89.0-r1.apk | 298.1 KiB | 2026-01-19 00:22:15 |
| libvalkey-dev-0.2.1-r0.apk | 301.5 KiB | 2025-11-17 15:33:23 |
| sublime-music-pyc-0.12.0-r1.apk | 302.2 KiB | 2024-10-25 19:08:52 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 304.0 KiB | 2025-07-01 18:10:43 |
| guake-3.10.1-r0.apk | 304.5 KiB | 2025-11-24 18:07:55 |
| cutechess-cli-1.3.1-r0.apk | 304.8 KiB | 2024-10-25 19:06:06 |
| modem-manager-gui-0.0.20-r0.apk | 304.9 KiB | 2024-10-25 19:07:49 |
| perl-libintl-perl-1.35-r0.apk | 304.9 KiB | 2025-01-16 13:35:17 |
| libvmaf-3.0.0-r0.apk | 305.4 KiB | 2024-10-25 19:07:35 |
| botan2-doc-2.19.5-r0.apk | 306.2 KiB | 2025-05-29 05:44:32 |
| budgie-session-lang-0.9.1-r0.apk | 306.6 KiB | 2025-10-16 17:07:38 |
| 66-0.8.2.1-r0.apk | 306.9 KiB | 2025-06-03 19:11:19 |
| dum-0.1.20-r1.apk | 309.2 KiB | 2025-03-29 18:40:15 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 309.3 KiB | 2024-10-25 19:07:34 |
| lomiri-filemanager-app-1.1.4-r0.apk | 309.5 KiB | 2025-08-19 20:07:42 |
| gstreamermm-dev-1.10.0-r6.apk | 309.7 KiB | 2025-02-22 12:17:56 |
| minisatip-1.3.4-r0.apk | 309.9 KiB | 2024-10-25 19:07:47 |
| diskus-0.8.0-r0.apk | 310.0 KiB | 2025-05-18 22:20:40 |
| plplot-doc-5.15.0-r2.apk | 310.6 KiB | 2024-10-25 19:08:11 |
| botan2-dev-2.19.5-r0.apk | 310.8 KiB | 2025-05-29 05:44:32 |
| py3-iso639-lang-2.6.3-r0.apk | 310.9 KiB | 2025-12-04 13:54:41 |
| lomiri-history-service-0.6-r21.apk | 311.2 KiB | 2026-01-19 00:22:25 |
| bartib-1.0.1-r1.apk | 311.6 KiB | 2024-10-25 19:05:59 |
| py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-11-21 13:31:24 |
| rclone-browser-1.8.0-r1.apk | 312.9 KiB | 2024-10-25 19:08:22 |
| bkt-0.8.0-r0.apk | 312.9 KiB | 2024-10-25 19:05:59 |
| planner-0.14.92-r1.apk | 313.2 KiB | 2024-12-08 21:36:41 |
| mediastreamer2-5.3.112-r1.apk | 313.9 KiB | 2025-10-19 10:13:51 |
| virtualgl-doc-3.1.4-r0.apk | 314.7 KiB | 2025-10-09 00:17:41 |
| toml2json-1.3.2-r0.apk | 314.8 KiB | 2025-09-01 13:13:36 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 19:07:12 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 19:07:12 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 19:07:12 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk | 315.8 KiB | 2024-10-25 19:07:12 |
| gnucobol-lang-3.2-r0.apk | 316.0 KiB | 2025-07-28 14:02:39 |
| code-minimap-0.6.7-r0.apk | 316.2 KiB | 2024-12-12 19:34:35 |
| pypykatz-0.6.13-r1.apk | 316.2 KiB | 2026-01-02 20:09:13 |
| py3-pymupdf-1.26.4-r2.apk | 316.6 KiB | 2025-12-19 19:58:46 |
| ibus-typing-booster-lang-2.29.0-r0.apk | 316.9 KiB | 2025-12-16 14:54:12 |
| dnssec-tools-doc-2.2.3-r13.apk | 317.0 KiB | 2025-07-01 18:10:43 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 317.6 KiB | 2024-10-25 19:07:34 |
| perl-dancer2-doc-2.0.1-r0.apk | 318.8 KiB | 2026-01-24 10:28:50 |
| py3-asysocks-pyc-0.2.18-r0.apk | 319.5 KiB | 2025-11-03 06:49:14 |
| monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-10-25 19:07:51 |
| rizin-dev-0.8.1-r0.apk | 322.6 KiB | 2025-07-01 18:10:44 |
| perl-html-formhandler-doc-0.40068-r0.apk | 323.2 KiB | 2025-05-11 11:57:51 |
| libguestfs-1.56.1-r0.apk | 323.7 KiB | 2025-07-24 13:42:49 |
| predoc-0.2.3-r0.apk | 324.0 KiB | 2026-01-24 13:59:16 |
| valent-1.0.0_alpha49-r0.apk | 324.5 KiB | 2025-12-24 18:31:10 |
| wlroots0.17-0.17.4-r3.apk | 325.2 KiB | 2025-08-13 03:46:26 |
| linux-gpib-doc-4.3.7-r0.apk | 326.4 KiB | 2025-12-06 23:07:14 |
| kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 326.4 KiB | 2024-10-25 19:07:30 |
| klong-20221212-r0.apk | 326.4 KiB | 2025-06-07 15:16:55 |
| 3proxy-0.9.5-r1.apk | 329.2 KiB | 2025-11-17 15:33:15 |
| py3-msldap-pyc-0.5.15-r2.apk | 329.8 KiB | 2025-10-17 14:35:36 |
| kodi-inputstream-ffmpegdirect-21.3.7-r0.apk | 330.7 KiB | 2025-03-02 16:17:22 |
| musikcube-plugin-server-3.0.5-r0.apk | 331.0 KiB | 2025-09-27 21:20:19 |
| lgogdownloader-3.18-r0.apk | 331.1 KiB | 2025-12-31 21:54:02 |
| libsigrokdecode-0.5.3-r4.apk | 331.6 KiB | 2024-10-25 19:07:35 |
| py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-12-11 21:35:20 |
| gloox-1.0.28-r0.apk | 332.4 KiB | 2024-10-25 19:07:16 |
| diceware-1.0.1-r0.apk | 334.0 KiB | 2025-01-13 22:49:51 |
| libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-12-14 19:23:33 |
| wlroots0.18-0.18.3-r0.apk | 335.1 KiB | 2025-11-28 23:54:22 |
| py3-dateparser-pyc-1.2.2-r0.apk | 335.2 KiB | 2025-07-06 19:46:20 |
| checkpolicy-3.6-r0.apk | 335.3 KiB | 2024-10-25 19:06:02 |
| py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-12-11 21:35:20 |
| perl-snmp-info-3.974000-r0.apk | 336.9 KiB | 2025-09-12 04:49:56 |
| php81-pear-8.1.34-r1.apk | 337.9 KiB | 2026-01-14 03:13:20 |
| php82-pear-8.2.30-r2.apk | 337.9 KiB | 2026-01-14 03:13:20 |
| timoni-doc-0.23.0-r11.apk | 337.9 KiB | 2026-01-19 00:22:34 |
| libretro-tyrquake-0_git20220409-r0.apk | 338.8 KiB | 2024-10-25 19:07:35 |
| lxqt-wayland-session-0.3.0-r0.apk | 339.0 KiB | 2025-11-20 22:09:06 |
| spread-sheet-widget-dev-0.10-r0.apk | 339.5 KiB | 2024-10-25 19:08:49 |
| moosefs-master-4.56.6-r2.apk | 339.9 KiB | 2025-06-20 04:10:15 |
| sigma-pyc-0.23.1-r1.apk | 340.0 KiB | 2024-10-25 19:08:46 |
| libsimpleble-0.10.4-r0.apk | 340.7 KiB | 2026-01-13 03:12:45 |
| desed-1.2.1-r1.apk | 341.8 KiB | 2024-10-25 19:06:14 |
| gnome-latex-3.49.0-r0.apk | 342.4 KiB | 2026-01-06 14:11:39 |
| morph-browser-lang-1.99.1-r0.apk | 343.1 KiB | 2025-12-10 17:06:24 |
| py3-hfst-3.16.2-r1.apk | 344.1 KiB | 2026-01-14 03:13:20 |
| vkbasalt-0.3.2.10-r0.apk | 344.1 KiB | 2024-10-25 19:09:06 |
| py3-rns-1.0.0-r0.apk | 344.2 KiB | 2025-09-23 20:48:58 |
| fflas-ffpack-2.5.0-r3.apk | 345.3 KiB | 2024-10-25 19:07:12 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 345.6 KiB | 2024-10-25 19:08:23 |
| gkrellm-2.3.11-r0.apk | 345.8 KiB | 2025-01-08 22:36:44 |
| wcm-0.9.0-r0.apk | 348.1 KiB | 2025-02-27 20:17:05 |
| gede-2.22.1-r0.apk | 349.3 KiB | 2025-11-02 20:48:15 |
| knxd-0.14.61-r1.apk | 350.1 KiB | 2024-12-14 19:23:33 |
| openvpn3-3.8.5-r1.apk | 350.5 KiB | 2025-02-22 12:17:58 |
| torrent-file-editor-0.3.18-r0.apk | 351.8 KiB | 2024-10-25 19:08:56 |
| xml2rfc-3.28.1-r3.apk | 351.9 KiB | 2025-12-18 11:02:53 |
| py3-ly-pyc-0.9.9-r0.apk | 352.0 KiB | 2025-07-15 10:28:49 |
| xfsdump-3.2.0-r0.apk | 352.8 KiB | 2025-08-30 20:48:03 |
| vile-common-9.8z_p1-r2.apk | 353.2 KiB | 2025-10-08 10:22:44 |
| atlantik-3.5.10_git20240323-r0.apk | 353.8 KiB | 2024-10-25 19:05:58 |
| perl-dbix-class-0.082844-r0.apk | 355.5 KiB | 2025-01-16 17:26:49 |
| libqofono-qt6-0.124-r0.apk | 355.8 KiB | 2025-01-10 15:33:43 |
| vile-doc-9.8z_p1-r2.apk | 357.2 KiB | 2025-10-08 10:22:44 |
| font-aref-ruqaa-1.006-r0.apk | 357.3 KiB | 2025-04-12 12:25:25 |
| botan2-2.19.5-r0.apk | 357.5 KiB | 2025-05-29 05:44:32 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-10-25 19:08:18 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 358.1 KiB | 2024-10-25 19:07:22 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 358.3 KiB | 2024-10-25 19:08:15 |
| drumgizmo-0.9.20-r1.apk | 358.5 KiB | 2024-10-25 19:07:06 |
| libandroidfw-0_git20251009-r1.apk | 359.2 KiB | 2026-01-14 03:13:18 |
| video-trimmer-25.03-r0.apk | 359.5 KiB | 2025-07-01 18:10:44 |
| lumina-desktop-fm-1.6.2-r0.apk | 359.8 KiB | 2024-10-25 19:07:38 |
| py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-10-25 19:08:18 |
| hyprlock-0.9.2-r0.apk | 360.4 KiB | 2025-12-14 10:57:44 |
| libretro-neocd-0_git20220325-r1.apk | 360.8 KiB | 2024-10-25 19:07:34 |
| syd-tui-0.2.2-r0.apk | 360.9 KiB | 2025-12-07 22:33:24 |
| pyinfra-pyc-3.3.1-r0.apk | 361.0 KiB | 2025-07-16 08:06:41 |
| jackdaw-pyc-0.3.1-r2.apk | 364.2 KiB | 2025-05-29 11:58:13 |
| py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-10-25 19:08:15 |
| projectm-pulseaudio-3.1.12-r2.apk | 366.0 KiB | 2024-10-25 19:08:13 |
| emacs-lsp-booster-0.2.1-r0.apk | 366.6 KiB | 2025-04-12 12:22:42 |
| libantlr4-4.13.2-r0.apk | 368.0 KiB | 2024-12-12 12:29:17 |
| py3-pacparser-1.4.5-r1.apk | 368.2 KiB | 2024-10-25 19:08:18 |
| py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-10-25 19:08:15 |
| py3-netmiko-pyc-4.6.0-r0.apk | 372.2 KiB | 2025-08-13 15:31:09 |
| advancemame-doc-3.9-r4.apk | 373.6 KiB | 2024-10-25 19:05:53 |
| py3-igraph-pyc-1.0.0-r0.apk | 374.4 KiB | 2025-10-28 02:16:01 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 375.1 KiB | 2025-04-04 05:22:28 |
| php81-fileinfo-8.1.34-r1.apk | 376.0 KiB | 2026-01-14 03:13:20 |
| php82-fileinfo-8.2.30-r2.apk | 376.1 KiB | 2026-01-14 03:13:20 |
| mergerfs-2.41.1-r0.apk | 376.8 KiB | 2025-12-13 16:13:11 |
| noblenote-1.2.1-r1.apk | 378.2 KiB | 2024-10-25 19:07:54 |
| perl-devel-nytprof-6.14-r1.apk | 378.4 KiB | 2025-07-01 18:10:43 |
| gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-01-08 22:36:44 |
| e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-11-05 13:22:01 |
| pcl-dev-1.15.1-r0.apk | 379.9 KiB | 2026-01-19 00:22:27 |
| py3-slixmpp-1.8.5-r2.apk | 380.7 KiB | 2024-10-25 19:08:19 |
| lomiri-calculator-app-4.1.0-r0.apk | 380.8 KiB | 2025-08-19 20:07:42 |
| barman-3.17.0-r0.apk | 381.5 KiB | 2026-01-21 19:05:21 |
| appcenter-8.0.0-r0.apk | 382.0 KiB | 2024-11-12 21:55:19 |
| batmon-0.0.1-r0.apk | 382.7 KiB | 2024-10-25 19:05:59 |
| welle-io-2.7-r0.apk | 383.4 KiB | 2025-04-07 18:04:05 |
| cargo-run-bin-1.7.2-r0.apk | 383.5 KiB | 2024-10-25 19:06:00 |
| kodi-vfs-rar-20.1.0-r1.apk | 383.7 KiB | 2024-10-25 19:07:30 |
| exabgp-4.2.24-r1.apk | 384.8 KiB | 2025-09-09 13:17:26 |
| py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-10-25 19:08:14 |
| diskonaut-0.11.0-r3.apk | 389.3 KiB | 2024-10-25 19:06:15 |
| perl-snmp-info-doc-3.974000-r0.apk | 389.6 KiB | 2025-09-12 04:49:56 |
| pandora_box-0.18.0-r0.apk | 389.9 KiB | 2026-01-04 13:46:23 |
| py3-xsdata-pyc-26.1-r0.apk | 391.6 KiB | 2026-01-20 11:16:46 |
| paged-markdown-3-pdf-0.1.3-r0.apk | 393.4 KiB | 2025-05-28 14:23:56 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 394.2 KiB | 2025-07-15 10:28:49 |
| ruby-mail-2.9.0-r0.apk | 394.4 KiB | 2025-10-24 12:36:09 |
| lol-html-1.1.1-r1.apk | 397.4 KiB | 2024-10-25 19:07:37 |
| projectm-3.1.12-r2.apk | 398.8 KiB | 2024-10-25 19:08:13 |
| gdcm-3.2.2-r3.apk | 399.4 KiB | 2025-12-02 05:04:12 |
| libre-dev-4.4.0-r0.apk | 401.2 KiB | 2026-01-24 12:59:20 |
| py3-igraph-1.0.0-r0.apk | 401.6 KiB | 2025-10-28 02:16:01 |
| gstreamermm-1.10.0-r6.apk | 403.1 KiB | 2025-02-22 12:17:56 |
| simgear-dev-2024.1.1-r0.apk | 404.1 KiB | 2025-03-05 00:14:54 |
| svgbob-0.7.6-r0.apk | 404.5 KiB | 2025-02-04 17:20:18 |
| qsynth-1.0.3-r0.apk | 406.2 KiB | 2025-11-25 11:01:53 |
| rpicam-apps-1.11.0-r0.apk | 406.3 KiB | 2026-01-02 13:24:33 |
| xml2rfc-pyc-3.28.1-r3.apk | 407.5 KiB | 2025-12-18 11:02:53 |
| libretro-picodrive-0_git20220405-r0.apk | 407.5 KiB | 2024-10-25 19:07:34 |
| schismtracker-20251014-r0.apk | 408.1 KiB | 2025-10-24 23:50:53 |
| qtpass-1.4.0-r0.apk | 408.7 KiB | 2024-10-25 19:08:21 |
| qflipper-1.3.3-r1.apk | 410.8 KiB | 2024-10-25 19:08:19 |
| gnome-metronome-1.3.0-r0.apk | 410.9 KiB | 2024-10-25 19:07:17 |
| miracle-wm-0.8.3-r0.apk | 411.5 KiB | 2025-12-31 16:43:06 |
| lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-10-25 19:07:38 |
| lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-10-25 19:07:38 |
| lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-10-25 19:07:38 |
| mm-common-1.0.7-r0.apk | 414.2 KiB | 2025-06-27 16:39:46 |
| pcl-1.15.1-r0.apk | 417.1 KiB | 2026-01-19 00:22:27 |
| gaupol-pyc-1.12-r2.apk | 419.2 KiB | 2024-10-25 19:07:15 |
| libretro-openlara-0_git20210121-r0.apk | 419.7 KiB | 2024-10-25 19:07:34 |
| uucp-1.07-r6.apk | 420.1 KiB | 2024-10-25 19:09:05 |
| perl-dbix-class-doc-0.082844-r0.apk | 420.5 KiB | 2025-01-16 17:26:49 |
| buildbot-www-4.3.0-r1.apk | 422.1 KiB | 2026-01-23 15:51:28 |
| scooper-1.3-r1.apk | 423.6 KiB | 2024-10-25 19:08:42 |
| esptool-4.8.1-r0.apk | 424.0 KiB | 2024-10-25 19:07:10 |
| birdtray-1.11.4-r0.apk | 424.3 KiB | 2025-12-12 17:03:48 |
| visidata-3.3-r0.apk | 424.6 KiB | 2025-09-16 04:50:29 |
| py3-owslib-pyc-0.35.0-r0.apk | 424.9 KiB | 2025-11-02 19:15:12 |
| libuninameslist-20230916-r0.apk | 425.2 KiB | 2024-10-25 19:07:35 |
| buildbot-wsgi-dashboards-4.3.0-r1.apk | 425.8 KiB | 2026-01-23 15:51:28 |
| quakespasm-0.96.3-r0.apk | 426.3 KiB | 2024-10-25 19:08:21 |
| freediameter-extensions-1.5.0-r1.apk | 426.8 KiB | 2024-10-25 19:07:15 |
| corosync-dev-3.1.10-r0.apk | 427.2 KiB | 2025-12-27 10:37:30 |
| py3-b2sdk-pyc-2.10.2-r0.apk | 429.4 KiB | 2025-12-16 18:41:41 |
| qstardict-2.0.2-r1.apk | 429.8 KiB | 2024-11-24 05:46:51 |
| font-stix-ttf-2.13-r0.apk | 430.0 KiB | 2024-10-25 19:07:13 |
| zycore-doc-1.5.0-r1.apk | 432.6 KiB | 2025-07-15 10:28:50 |
| heh-0.6.3-r0.apk | 435.4 KiB | 2026-01-21 19:05:21 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.7 KiB | 2024-10-25 19:08:18 |
| lcalc-doc-2.1.0-r0.apk | 436.8 KiB | 2025-05-11 11:57:48 |
| libsigrok-0.5.2-r3.apk | 437.5 KiB | 2024-10-25 19:07:35 |
| spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-10-25 19:08:48 |
| mkosi-pyc-26-r1.apk | 438.5 KiB | 2025-12-27 17:17:24 |
| syncthing-gtk-0.9.4.5-r2.apk | 440.0 KiB | 2024-10-25 19:08:52 |
| xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-10-25 19:09:09 |
| timeshift-25.12.4-r0.apk | 440.7 KiB | 2026-01-08 21:42:25 |
| komikku-1.85.0-r0.apk | 444.1 KiB | 2025-08-22 08:07:18 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-10-25 19:08:14 |
| simp1e-cursors-0_git20250312-r0.apk | 446.7 KiB | 2025-03-13 12:27:37 |
| proverif-utils-2.05-r1.apk | 447.0 KiB | 2026-01-11 22:38:51 |
| boost1.89-libs-1.89.0-r1.apk | 447.6 KiB | 2026-01-19 00:22:15 |
| simp1e-cursors-left-0_git20250312-r0.apk | 448.5 KiB | 2025-03-13 12:27:38 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 448.6 KiB | 2025-05-12 21:20:41 |
| mimalloc1-dev-1.9.4-r0.apk | 448.9 KiB | 2025-11-05 14:28:38 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.5 KiB | 2025-03-13 12:27:38 |
| gdcm-dev-3.2.2-r3.apk | 449.9 KiB | 2025-12-02 05:04:12 |
| vcdimager-2.0.1-r5.apk | 450.1 KiB | 2025-01-25 07:04:25 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.4 KiB | 2025-03-13 12:27:38 |
| lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-10-25 19:07:38 |
| qtmir-0.7.2_git20250407-r5.apk | 454.5 KiB | 2025-12-18 14:17:18 |
| i3bar-river-1.1.0-r1.apk | 455.2 KiB | 2025-10-29 22:52:25 |
| libguestfs-static-1.56.1-r0.apk | 455.4 KiB | 2025-07-24 13:42:49 |
| litehtml-static-0.9-r2.apk | 455.6 KiB | 2025-02-22 12:17:57 |
| gr-satellites-5.5.0-r6.apk | 455.9 KiB | 2025-10-12 12:12:58 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 456.0 KiB | 2025-05-11 11:57:50 |
| typstyle-0.12.14-r0.apk | 457.7 KiB | 2025-01-12 13:45:22 |
| startup-2.0.3-r5.apk | 460.9 KiB | 2024-10-25 19:08:50 |
| log4cxx-1.5.0-r0.apk | 462.8 KiB | 2026-01-06 07:26:28 |
| megazeux-doc-2.93d-r0.apk | 465.3 KiB | 2025-06-10 13:44:50 |
| php82-pdlib-1.1.0-r2.apk | 466.7 KiB | 2026-01-19 00:22:27 |
| qtile-0.33.0-r0.apk | 468.9 KiB | 2025-09-19 14:53:45 |
| motion-lang-4.7.1-r0.apk | 470.8 KiB | 2025-09-27 21:20:19 |
| oppa-1.1.0-r0.apk | 471.7 KiB | 2025-10-12 13:21:47 |
| nitro-2.7_beta8-r2.apk | 473.4 KiB | 2024-10-25 19:07:54 |
| riemann-cli-0.8.0-r2.apk | 475.8 KiB | 2024-10-25 19:08:23 |
| marxan-4.0.7-r1.apk | 476.1 KiB | 2024-10-25 19:07:45 |
| kimchi-pyc-3.0.0-r8.apk | 476.1 KiB | 2025-02-10 21:12:02 |
| perl-imager-1.028-r1.apk | 477.0 KiB | 2025-07-01 18:10:43 |
| meep-dev-1.31.0-r1.apk | 477.0 KiB | 2025-08-19 13:07:11 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 477.8 KiB | 2025-03-13 12:27:37 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 482.0 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 483.0 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 483.9 KiB | 2025-03-13 12:27:38 |
| kew-3.7.3-r0.apk | 485.0 KiB | 2026-01-06 13:43:27 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 485.0 KiB | 2025-03-13 12:27:38 |
| featherpad-lang-1.6.2-r0.apk | 485.2 KiB | 2025-11-28 19:40:17 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.7 KiB | 2025-03-13 12:27:38 |
| mapnik-dev-4.1.4-r1.apk | 486.8 KiB | 2026-01-14 03:13:18 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.6 KiB | 2025-03-13 12:27:38 |
| supermin-5.2.2-r2.apk | 490.2 KiB | 2024-10-25 19:08:52 |
| squeak-vm-4.10.2.2614-r2.apk | 490.2 KiB | 2025-10-09 12:30:45 |
| bore-0.5.2-r0.apk | 491.9 KiB | 2024-12-15 19:18:43 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 492.2 KiB | 2025-03-13 12:27:38 |
| wl-screenrec-0.1.7-r1.apk | 497.4 KiB | 2025-08-27 16:17:12 |
| getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-12-08 21:36:41 |
| py3-telegram-bot-22.5-r0.apk | 497.5 KiB | 2025-11-20 03:26:01 |
| py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-10-25 19:08:18 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-10-25 19:08:16 |
| py3-pymupdf-pyc-1.26.4-r2.apk | 500.2 KiB | 2025-12-19 19:58:46 |
| jrsonnet-cli-0.4.2-r1.apk | 501.6 KiB | 2024-10-25 19:07:26 |
| parse-changelog-0.6.12-r0.apk | 501.6 KiB | 2025-05-18 22:20:40 |
| wayfire-plugins-extra-0.9.0-r0.apk | 501.6 KiB | 2025-02-27 20:17:05 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 502.3 KiB | 2024-10-25 19:08:18 |
| mint-x-theme-gtk4-2.3.7-r1.apk | 503.1 KiB | 2026-01-24 14:18:44 |
| py3-imageio-pyc-2.37.0-r0.apk | 503.8 KiB | 2025-07-15 10:28:49 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 504.2 KiB | 2025-03-13 12:27:38 |
| font-cascadia-mono-2407.24-r1.apk | 506.8 KiB | 2025-05-27 14:36:35 |
| freshrss-lang-1.28.0-r0.apk | 507.4 KiB | 2026-01-02 01:39:22 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.3 KiB | 2025-03-13 12:27:38 |
| morph-browser-1.99.1-r0.apk | 509.4 KiB | 2025-12-10 17:06:24 |
| lomiri-download-manager-0.3.0-r0.apk | 510.7 KiB | 2025-12-10 17:06:24 |
| cdist-7.0.0-r6.apk | 511.0 KiB | 2024-10-25 19:06:01 |
| opendht-libs-3.1.11-r0.apk | 512.1 KiB | 2025-01-27 20:56:37 |
| turn-rs-3.4.0-r1.apk | 513.8 KiB | 2025-06-12 12:11:56 |
| faust-static-2.79.3-r0.apk | 515.5 KiB | 2025-06-07 17:02:10 |
| plots-0.7.0-r1.apk | 515.7 KiB | 2024-11-06 09:41:46 |
| libretro-daphne-0_git20210108-r2.apk | 516.2 KiB | 2024-10-25 19:07:34 |
| trace-cmd-dbg-3.3.1-r1.apk | 516.2 KiB | 2025-01-25 07:04:23 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 517.1 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.9 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk | 519.8 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 520.0 KiB | 2025-03-13 12:27:38 |
| py3-scrapy-pyc-2.13.4-r0.apk | 521.1 KiB | 2026-01-05 22:27:56 |
| opentelemetry-cpp-dev-1.24.0-r0.apk | 521.3 KiB | 2025-11-22 13:33:04 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.7 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-nord-light-left-0_git20250312-r0.apk | 524.7 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 525.0 KiB | 2025-03-13 12:27:38 |
| font-cascadia-code-2407.24-r1.apk | 526.2 KiB | 2025-05-27 14:36:35 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk | 526.3 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk | 526.6 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk | 526.9 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk | 527.6 KiB | 2025-03-13 12:27:38 |
| httrack-doc-3.49.2-r5.apk | 528.0 KiB | 2024-10-25 19:07:25 |
| kimchi-3.0.0-r8.apk | 528.9 KiB | 2025-02-10 21:12:02 |
| nsh-0.4.2-r1.apk | 529.1 KiB | 2024-10-25 19:07:55 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.3 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk | 529.9 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.9 KiB | 2025-03-13 12:27:39 |
| gnome-latex-lang-3.49.0-r0.apk | 530.4 KiB | 2026-01-06 14:11:39 |
| simp1e-cursors-solarized-light-0_git20250312-r0.apk | 531.1 KiB | 2025-03-13 12:27:39 |
| xmoto-lang-0.6.3-r0.apk | 532.1 KiB | 2025-10-03 17:02:33 |
| simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk | 532.6 KiB | 2025-03-13 12:27:38 |
| aspell-es-1.11-r0.apk | 533.0 KiB | 2024-10-25 19:05:58 |
| rpg-cli-1.2.0-r0.apk | 533.1 KiB | 2024-10-25 19:08:24 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.5 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk | 533.9 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk | 535.0 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk | 535.0 KiB | 2025-03-13 12:27:38 |
| ttyper-1.6.0-r0.apk | 535.1 KiB | 2025-02-05 21:45:31 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.6 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk | 537.0 KiB | 2025-03-13 12:27:38 |
| jellyfin-desktop-2.0.0-r0.apk | 537.2 KiB | 2026-01-19 02:24:11 |
| simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk | 537.3 KiB | 2025-03-13 12:27:38 |
| lomiri-indicator-network-1.1.2-r0.apk | 537.5 KiB | 2025-09-09 13:17:31 |
| perl-alien-libgumbo-0.05-r1.apk | 537.7 KiB | 2025-07-01 18:10:43 |
| font-terminus-ttf-4.49.3-r0.apk | 537.9 KiB | 2025-07-15 10:28:49 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.9 KiB | 2025-03-13 12:27:38 |
| mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-10-25 19:07:49 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.4 KiB | 2025-03-13 12:27:38 |
| py3-meshtastic-2.7.2-r0.apk | 539.8 KiB | 2025-09-16 13:46:12 |
| mapserver-dev-8.4.1-r1.apk | 539.9 KiB | 2025-12-04 13:54:38 |
| simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk | 539.9 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 540.2 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk | 541.0 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-solarized-light-left-0_git20250312-r0.apk | 542.0 KiB | 2025-03-13 12:27:39 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk | 544.4 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 545.1 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk | 545.6 KiB | 2025-03-13 12:27:39 |
| perl-lingua-en-tagger-0.31-r0.apk | 545.8 KiB | 2025-06-08 16:07:13 |
| netdiscover-0.21-r0.apk | 546.2 KiB | 2025-08-15 12:45:51 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk | 547.5 KiB | 2025-03-13 12:27:38 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.6 KiB | 2025-03-13 12:27:38 |
| esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-10-25 19:07:10 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk | 550.2 KiB | 2025-03-13 12:27:38 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.2 KiB | 2024-10-25 19:08:18 |
| opentelemetry-cpp-1.24.0-r0.apk | 550.6 KiB | 2025-11-22 13:33:03 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk | 550.6 KiB | 2025-03-13 12:27:38 |
| ettercap-0.8.3.1-r3.apk | 551.5 KiB | 2024-10-25 19:07:10 |
| platformio-core-pyc-6.1.7-r3.apk | 551.8 KiB | 2024-10-25 19:08:11 |
| crow-translate-lang-4.0.2-r0.apk | 553.9 KiB | 2025-09-21 15:29:32 |
| eva-0.3.1-r2.apk | 554.7 KiB | 2024-10-25 19:07:10 |
| py3-yosys-0.57-r0.apk | 556.6 KiB | 2025-10-24 21:21:02 |
| libsemigroups-2.7.3-r1.apk | 558.3 KiB | 2024-12-14 19:23:33 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-10-25 19:08:15 |
| libretro-bluemsx-0_git20240808-r0.apk | 560.9 KiB | 2024-10-25 19:07:34 |
| postgresql-pg_later-0.0.14-r1.apk | 561.2 KiB | 2024-10-25 19:08:12 |
| php81-mbstring-8.1.34-r1.apk | 563.8 KiB | 2026-01-14 03:13:20 |
| cargo-vendor-filterer-0.5.18-r0.apk | 566.6 KiB | 2025-07-24 17:29:34 |
| font-tiresias-0_git20200704-r0.apk | 568.3 KiB | 2024-10-25 19:07:13 |
| libguestfs-doc-1.56.1-r0.apk | 568.6 KiB | 2025-07-24 13:42:49 |
| perl-libintl-perl-doc-1.35-r0.apk | 571.4 KiB | 2025-01-16 13:35:17 |
| belle-sip-5.3.105-r0.apk | 575.6 KiB | 2025-02-25 12:52:26 |
| meep-1.31.0-r1.apk | 576.8 KiB | 2025-08-19 13:07:11 |
| paperde-0.3.0-r2.apk | 578.0 KiB | 2025-08-21 07:56:20 |
| boinc-dev-7.24.3-r0.apk | 578.4 KiB | 2024-10-25 19:05:59 |
| gnu-apl-dev-1.9-r0.apk | 580.2 KiB | 2024-10-25 19:07:17 |
| boost1.89-1.89.0-r1.apk | 582.3 KiB | 2026-01-19 00:22:15 |
| java-gdcm-3.2.2-r3.apk | 582.4 KiB | 2025-12-02 05:04:12 |
| py3-aiosmb-0.4.14-r0.apk | 585.4 KiB | 2025-11-03 06:49:14 |
| budgie-desktop-lang-10.9.2-r0.apk | 585.9 KiB | 2025-10-16 17:07:38 |
| py3-apsw-pyc-3.51.2.0-r0.apk | 586.0 KiB | 2026-01-23 07:59:48 |
| orage-4.21.0-r0.apk | 586.2 KiB | 2026-01-06 14:22:37 |
| bonzomatic-20230615-r0.apk | 587.1 KiB | 2024-10-25 19:06:00 |
| xonsh-0.19.9-r0.apk | 588.9 KiB | 2025-10-31 11:57:23 |
| lumins-0.4.0-r2.apk | 589.0 KiB | 2024-10-25 19:07:38 |
| petitboot-dbg-1.15-r1.apk | 589.7 KiB | 2026-01-19 00:22:27 |
| rygel-lang-45.1-r0.apk | 590.8 KiB | 2026-01-05 15:35:46 |
| felix-2.16.1-r0.apk | 592.6 KiB | 2025-05-16 08:33:13 |
| gtranslator-lang-49.0-r0.apk | 593.0 KiB | 2025-09-16 04:50:29 |
| uranium-5.2.2-r3.apk | 595.5 KiB | 2024-10-25 19:09:05 |
| kondo-0.8-r0.apk | 596.1 KiB | 2024-10-25 19:07:30 |
| gufw-24.04-r3.apk | 596.5 KiB | 2024-11-20 02:43:39 |
| mint-x-theme-gtk3-2.3.7-r1.apk | 597.3 KiB | 2026-01-24 14:18:44 |
| barman-pyc-3.17.0-r0.apk | 599.2 KiB | 2026-01-21 19:05:21 |
| py3-textual-5.3.0-r0.apk | 611.8 KiB | 2025-10-27 08:30:45 |
| ecasound-2.9.3-r4.apk | 616.4 KiB | 2025-02-24 22:28:22 |
| apache2-mod-perl-2.0.13-r2.apk | 620.0 KiB | 2025-07-01 18:10:43 |
| udpt-3.1.2-r0.apk | 620.8 KiB | 2024-10-25 19:09:04 |
| php82-mbstring-8.2.30-r2.apk | 621.4 KiB | 2026-01-14 03:13:20 |
| font-chivo-mono-0_git20221110-r0.apk | 625.9 KiB | 2024-10-25 19:07:12 |
| py3-cvxpy-1.2.1-r5.apk | 631.6 KiB | 2024-10-25 19:08:15 |
| projectm-dev-3.1.12-r2.apk | 631.9 KiB | 2024-10-25 19:08:13 |
| buildcache-0.28.9-r0.apk | 633.1 KiB | 2024-10-25 19:06:00 |
| libretro-snes9x-0_git20240819-r0.apk | 635.1 KiB | 2024-10-25 19:07:35 |
| gtranslator-doc-49.0-r0.apk | 637.5 KiB | 2025-09-16 04:50:29 |
| moosefs-client-4.56.6-r2.apk | 637.8 KiB | 2025-06-20 04:10:15 |
| sshs-4.7.2-r0.apk | 638.6 KiB | 2025-04-23 22:28:32 |
| libmdbx-0.11.8-r0.apk | 639.7 KiB | 2024-10-25 19:07:33 |
| mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-10-25 19:07:49 |
| oils-for-unix-0.35.0-r0.apk | 646.1 KiB | 2025-09-28 22:29:30 |
| featherpad-1.6.2-r0.apk | 647.1 KiB | 2025-11-28 19:40:17 |
| APKINDEX.tar.gz | 647.7 KiB | 2026-01-24 22:33:44 |
| nextpnr-generic-0.7-r0.apk | 650.4 KiB | 2024-10-25 19:07:53 |
| castor-0.9.0-r2.apk | 650.4 KiB | 2024-10-25 19:06:00 |
| mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-10-25 19:07:49 |
| font-material-icons-4.0.0-r0.apk | 651.9 KiB | 2024-10-25 19:07:13 |
| azpainter-3.0.11-r0.apk | 652.6 KiB | 2025-02-22 12:34:49 |
| pwndbg-2025.10.20-r0.apk | 654.1 KiB | 2025-12-31 14:33:53 |
| mdbook-alerts-0.8.0-r0.apk | 654.8 KiB | 2025-09-25 21:50:37 |
| codec2-1.2.0-r1.apk | 655.4 KiB | 2025-11-22 18:51:31 |
| agate-3.3.19-r0.apk | 655.9 KiB | 2025-09-23 20:48:58 |
| yaml-language-server-1.19.2-r0.apk | 666.1 KiB | 2025-10-08 23:50:31 |
| openvpn3-dev-3.8.5-r1.apk | 667.0 KiB | 2025-02-22 12:17:58 |
| py3-gdcm-3.2.2-r3.apk | 667.4 KiB | 2025-12-02 05:04:12 |
| zsh-histdb-skim-0.8.6-r0.apk | 669.6 KiB | 2024-10-25 19:09:12 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 673.1 KiB | 2024-10-25 19:08:14 |
| firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-10-25 19:07:12 |
| yices2-libs-2.6.5-r0.apk | 674.6 KiB | 2025-03-03 00:14:54 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-10-25 19:08:17 |
| font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-10-25 19:07:13 |
| pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-12-23 01:13:56 |
| pacparser-1.4.5-r1.apk | 680.2 KiB | 2024-10-25 19:08:06 |
| rpi-imager-1.9.0-r1.apk | 681.7 KiB | 2025-07-24 13:42:51 |
| jaq-2.3.0-r0.apk | 684.3 KiB | 2025-07-24 13:42:48 |
| twiggy-0.6.0-r3.apk | 687.9 KiB | 2024-10-25 19:09:04 |
| rygel-45.1-r0.apk | 692.6 KiB | 2026-01-05 15:35:46 |
| pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-12-23 01:13:56 |
| lizardfs-master-3.13.0-r17.apk | 702.1 KiB | 2025-06-20 04:10:15 |
| nyuu-0.4.2-r1.apk | 702.1 KiB | 2025-12-29 15:46:09 |
| moosefs-static-4.56.6-r2.apk | 704.3 KiB | 2025-06-20 04:10:15 |
| py3-rns-pyc-1.0.0-r0.apk | 704.5 KiB | 2025-09-23 20:48:58 |
| vile-9.8z_p1-r2.apk | 705.4 KiB | 2025-10-08 10:22:44 |
| dlib-20.0-r0.apk | 707.6 KiB | 2026-01-19 00:22:18 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk | 712.6 KiB | 2024-10-25 19:08:17 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk | 712.7 KiB | 2024-10-25 19:07:54 |
| py3-modern_colorthief-0.1.7-r0.apk | 714.7 KiB | 2025-06-20 04:10:16 |
| getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-12-08 21:36:41 |
| eiwd-3.10-r0.apk | 716.1 KiB | 2025-10-08 10:22:43 |
| httrack-3.49.2-r5.apk | 716.6 KiB | 2024-10-25 19:07:24 |
| draco-1.5.7-r2.apk | 718.9 KiB | 2025-02-22 12:17:56 |
| tsung-1.8.0-r3.apk | 721.8 KiB | 2025-06-13 05:36:17 |
| pypykatz-pyc-0.6.13-r1.apk | 723.9 KiB | 2026-01-02 20:09:13 |
| dnssec-tools-2.2.3-r13.apk | 727.9 KiB | 2025-07-01 18:10:43 |
| xvile-9.8z_p1-r2.apk | 728.7 KiB | 2025-10-08 10:22:44 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 729.5 KiB | 2024-10-25 19:08:19 |
| hunspell-ca-es-3.0.7-r0.apk | 731.3 KiB | 2024-10-25 19:07:25 |
| tealdeer-1.8.0-r0.apk | 735.6 KiB | 2025-10-28 02:23:48 |
| buildbot-4.3.0-r1.apk | 735.9 KiB | 2026-01-23 15:51:28 |
| py3-dbus-fast-3.1.2-r0.apk | 737.2 KiB | 2025-11-23 21:15:40 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 737.5 KiB | 2024-10-25 19:05:59 |
| silc-client-1.1.11-r18.apk | 740.1 KiB | 2025-07-01 18:10:44 |
| e16-1.0.30-r0.apk | 740.2 KiB | 2024-11-05 13:22:01 |
| cargo-show-asm-0.2.55-r0.apk | 742.9 KiB | 2026-01-23 10:54:46 |
| py3-beartype-pyc-0.22.9-r0.apk | 744.2 KiB | 2025-12-15 18:33:30 |
| weggli-0.2.4-r1.apk | 746.9 KiB | 2024-10-25 19:09:07 |
| responder-3.1.7.0-r0.apk | 747.3 KiB | 2025-10-14 14:54:50 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-10-25 19:08:13 |
| jwt-cli-6.2.0-r0.apk | 751.4 KiB | 2024-12-14 18:18:18 |
| git-graph-0.6.0-r0.apk | 751.6 KiB | 2024-11-25 23:38:25 |
| libetebase-0.5.8-r0.apk | 752.9 KiB | 2025-09-27 19:40:41 |
| transito-doc-0.10.0-r1.apk | 755.8 KiB | 2026-01-19 00:22:34 |
| powder-toy-97.0.352-r1.apk | 756.5 KiB | 2024-10-25 19:08:12 |
| yaru-theme-purple-25.10.3-r0.apk | 757.0 KiB | 2026-01-06 05:46:08 |
| nicotine-plus-lang-3.3.10-r0.apk | 757.0 KiB | 2025-05-11 11:57:50 |
| rtw89-src-7_p20230725-r0.apk | 758.7 KiB | 2024-10-25 19:08:24 |
| yaru-theme-olive-25.10.3-r0.apk | 759.2 KiB | 2026-01-06 05:46:08 |
| yaru-theme-prussiangreen-25.10.3-r0.apk | 759.7 KiB | 2026-01-06 05:46:08 |
| yaru-theme-red-25.10.3-r0.apk | 759.9 KiB | 2026-01-06 05:46:08 |
| yaru-theme-viridian-25.10.3-r0.apk | 760.3 KiB | 2026-01-06 05:46:08 |
| yaru-theme-magenta-25.10.3-r0.apk | 761.9 KiB | 2026-01-06 05:46:08 |
| 66-dbg-0.8.2.1-r0.apk | 762.3 KiB | 2025-06-03 19:11:19 |
| yaru-theme-bark-25.10.3-r0.apk | 762.8 KiB | 2026-01-06 05:46:08 |
| yaru-theme-sage-25.10.3-r0.apk | 763.2 KiB | 2026-01-06 05:46:08 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 764.1 KiB | 2024-10-25 19:07:38 |
| yaru-theme-wartybrown-25.10.3-r0.apk | 764.3 KiB | 2026-01-06 05:46:08 |
| nmqtt-1.0.7-r0.apk | 765.9 KiB | 2026-01-24 13:35:19 |
| yaru-theme-blue-25.10.3-r0.apk | 766.1 KiB | 2026-01-06 05:46:08 |
| py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-11-25 22:22:44 |
| yaru-theme-yellow-25.10.3-r0.apk | 766.5 KiB | 2026-01-06 05:46:08 |
| darkreader-4.9.110-r0.apk | 767.4 KiB | 2025-08-21 08:58:50 |
| php81-pecl-mongodb-2.1.4-r0.apk | 768.7 KiB | 2025-10-08 23:50:26 |
| php82-pecl-mongodb-2.1.4-r0.apk | 769.2 KiB | 2025-10-24 02:07:04 |
| libretro-fuse-0_git20220417-r0.apk | 770.5 KiB | 2024-10-25 19:07:34 |
| gnucobol-3.2-r0.apk | 770.7 KiB | 2025-07-28 14:02:39 |
| yaru-theme-mate-25.10.3-r0.apk | 772.0 KiB | 2026-01-06 05:46:08 |
| sudo-ldap-1.9.17_p1-r0.apk | 773.0 KiB | 2025-07-01 18:57:40 |
| exabgp-pyc-4.2.24-r1.apk | 778.3 KiB | 2025-09-09 13:17:26 |
| amber-0.5.1_alpha-r0.apk | 780.5 KiB | 2026-01-08 14:04:28 |
| mml-1.0.0-r1.apk | 783.5 KiB | 2025-09-30 00:41:11 |
| rezolus-2.11.1-r3.apk | 784.0 KiB | 2024-10-25 19:08:23 |
| gradia-1.11.1-r0.apk | 785.9 KiB | 2026-01-06 14:50:01 |
| hstdb-2.1.0-r2.apk | 787.3 KiB | 2024-10-25 19:07:24 |
| nicotine-plus-pyc-3.3.10-r0.apk | 788.9 KiB | 2025-05-11 11:57:50 |
| repowerd-2023.07-r3.apk | 790.2 KiB | 2025-02-22 12:17:59 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-10-25 19:07:49 |
| fulcrum-1.9.8-r1.apk | 791.8 KiB | 2024-10-25 19:07:15 |
| font-chivo-0_git20221110-r0.apk | 792.4 KiB | 2024-10-25 19:07:12 |
| hyfetch-2.0.5-r0.apk | 794.3 KiB | 2025-11-21 18:05:51 |
| otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-10-25 19:08:04 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 795.8 KiB | 2024-10-25 19:07:34 |
| komikku-pyc-1.85.0-r0.apk | 797.6 KiB | 2025-08-22 08:07:18 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 799.9 KiB | 2025-10-24 02:07:04 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-10-25 19:08:08 |
| py3-telegram-bot-pyc-22.5-r0.apk | 802.5 KiB | 2025-11-20 03:26:01 |
| libretro-parallel-n64-0_git20220406-r0.apk | 805.1 KiB | 2024-10-25 19:07:34 |
| py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-10-25 19:08:18 |
| nmap-parse-output-doc-1.5.1-r1.apk | 807.7 KiB | 2025-05-29 11:58:13 |
| naken_asm-0_git20240726-r0.apk | 807.8 KiB | 2026-01-24 14:01:23 |
| pulseview-0.4.2-r8.apk | 808.1 KiB | 2024-10-25 19:08:14 |
| redhat-fonts-4.1.0-r1.apk | 809.0 KiB | 2025-08-09 00:22:05 |
| pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-10-25 19:08:19 |
| lutris-lang-0.5.19-r1.apk | 810.5 KiB | 2025-09-19 14:53:45 |
| sc-controller-pyc-0.5.5-r0.apk | 814.3 KiB | 2025-12-14 08:56:06 |
| rust-script-0.36.0-r0.apk | 815.9 KiB | 2025-09-09 13:17:35 |
| libantlr4-dev-4.13.2-r0.apk | 818.2 KiB | 2024-12-12 12:29:17 |
| mdbook-plantuml-0.8.0-r0.apk | 819.0 KiB | 2024-10-25 19:07:46 |
| lutris-0.5.19-r1.apk | 819.2 KiB | 2025-09-19 14:53:45 |
| planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-12-08 21:36:41 |
| cimg-3.4.1-r0.apk | 826.0 KiB | 2024-10-25 19:06:03 |
| qsstv-9.5.8-r2.apk | 826.2 KiB | 2024-10-25 19:08:21 |
| geonames-0.3.1-r2.apk | 826.3 KiB | 2024-10-25 19:07:16 |
| halp-0.2.0-r0.apk | 829.7 KiB | 2024-10-25 19:07:22 |
| font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-10-25 19:07:12 |
| plib-1.8.5-r3.apk | 836.2 KiB | 2024-10-25 19:08:11 |
| serie-0.5.7-r0.apk | 839.1 KiB | 2026-01-21 19:05:22 |
| yaru-theme-25.10.3-r0.apk | 840.4 KiB | 2026-01-06 05:46:08 |
| wl-clip-persist-0.5.0-r0.apk | 843.3 KiB | 2025-10-26 12:29:10 |
| lomiri-trust-store-2.0.2-r14.apk | 843.5 KiB | 2025-12-18 14:17:18 |
| kmscon-9.2.1-r0.apk | 844.5 KiB | 2026-01-09 00:35:55 |
| maxima-doc-5.48.1-r9.apk | 845.5 KiB | 2025-08-22 10:18:40 |
| visidata-pyc-3.3-r0.apk | 845.8 KiB | 2025-09-16 04:50:29 |
| lomiri-system-settings-lang-1.3.2-r1.apk | 846.3 KiB | 2026-01-14 03:13:18 |
| xendmail-0.4.4-r0.apk | 848.6 KiB | 2025-08-08 16:11:01 |
| magpie-lang-0.9.4-r0.apk | 849.5 KiB | 2025-10-16 17:07:38 |
| font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-10-25 19:07:13 |
| gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-11-20 02:43:39 |
| rustls-ffi-0.15.0-r0.apk | 855.3 KiB | 2025-12-26 22:37:49 |
| qtile-pyc-0.33.0-r0.apk | 857.9 KiB | 2025-09-19 14:53:45 |
| cherrytree-lang-1.4.0-r0.apk | 858.6 KiB | 2025-03-26 17:40:20 |
| barrier-2.4.0-r2.apk | 860.3 KiB | 2025-02-22 12:17:52 |
| snapper-0.13.0-r0.apk | 865.1 KiB | 2025-09-19 14:53:45 |
| libretro-theodore-3.1-r0.apk | 866.3 KiB | 2024-10-25 19:07:35 |
| pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-10-25 19:08:19 |
| smile-2.11.0-r0.apk | 873.3 KiB | 2025-12-21 11:40:19 |
| advancemame-menu-3.9-r4.apk | 876.1 KiB | 2024-10-25 19:05:53 |
| boinc-lang-7.24.3-r0.apk | 876.9 KiB | 2024-10-25 19:06:00 |
| libabigail-2.8-r0.apk | 878.1 KiB | 2025-11-02 19:50:28 |
| py3-mbedtls-2.10.1-r3.apk | 882.6 KiB | 2025-05-29 11:58:14 |
| raspberrypi-usbboot-20250227-r0.apk | 886.0 KiB | 2025-06-09 08:39:38 |
| bochs-2.8-r1.apk | 887.5 KiB | 2025-02-22 12:17:52 |
| py3-pyglet-2.1.5-r1.apk | 889.9 KiB | 2025-08-27 14:46:00 |
| macchina-6.4.0-r0.apk | 890.1 KiB | 2025-07-24 13:42:50 |
| lomiri-telephony-service-0.6.2-r2.apk | 891.0 KiB | 2026-01-19 00:22:25 |
| hwatch-0.3.11-r0.apk | 893.9 KiB | 2024-10-25 19:07:25 |
| gloox-dev-1.0.28-r0.apk | 895.7 KiB | 2024-10-25 19:07:16 |
| lspmux-0.3.0-r0.apk | 896.5 KiB | 2025-11-05 14:23:51 |
| py3-apsw-3.51.2.0-r0.apk | 897.3 KiB | 2026-01-23 07:59:48 |
| synapse-bt-cli-1.0-r4.apk | 900.6 KiB | 2024-10-25 19:08:52 |
| kodi-pvr-iptvsimple-21.10.2-r0.apk | 902.6 KiB | 2025-03-02 16:17:22 |
| leptosfmt-0.1.33-r0.apk | 903.9 KiB | 2025-03-25 15:39:33 |
| freshrss-doc-1.28.0-r0.apk | 911.8 KiB | 2026-01-02 01:39:22 |
| android-translation-layer-dbg-0_git20260106-r0.apk | 913.6 KiB | 2026-01-08 12:54:13 |
| spike-1.1.0-r0.apk | 919.7 KiB | 2024-10-25 19:08:48 |
| milkytracker-1.04.00-r2.apk | 920.8 KiB | 2024-10-25 19:07:46 |
| mkdotenv-0.4.9-r3.apk | 920.9 KiB | 2026-01-19 00:22:26 |
| swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-12-21 10:08:18 |
| please-0.5.6-r0.apk | 923.1 KiB | 2025-08-29 08:10:11 |
| lizardfs-client-3.13.0-r17.apk | 925.5 KiB | 2025-06-20 04:10:15 |
| net-predictable-1.5.1-r6.apk | 933.1 KiB | 2026-01-19 00:22:26 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk | 934.1 KiB | 2024-10-25 19:08:16 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 936.1 KiB | 2024-10-25 19:08:15 |
| swhkd-1.2.1-r0.apk | 938.1 KiB | 2024-10-25 19:08:52 |
| php81-dev-8.1.34-r1.apk | 939.1 KiB | 2026-01-14 03:13:20 |
| tere-1.6.0-r0.apk | 939.8 KiB | 2024-10-25 19:08:54 |
| homebank-lang-5.9.5-r0.apk | 942.4 KiB | 2025-10-14 14:54:01 |
| mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-10-25 19:07:49 |
| mdbook-admonish-1.20.0-r0.apk | 945.4 KiB | 2025-06-20 04:10:15 |
| comrak-0.50.0-r0.apk | 961.2 KiB | 2026-01-22 12:39:26 |
| qpdfview-0.5-r2.apk | 965.1 KiB | 2025-01-29 19:44:57 |
| php82-dev-8.2.30-r2.apk | 968.3 KiB | 2026-01-14 03:13:20 |
| xed-doc-3.8.4-r0.apk | 970.9 KiB | 2025-10-23 07:55:24 |
| laze-0.1.38-r0.apk | 983.6 KiB | 2025-07-24 13:42:48 |
| pest-language-server-0.3.9-r0.apk | 987.1 KiB | 2024-10-25 19:08:07 |
| kodi-inputstream-adaptive-21.5.9-r0.apk | 988.7 KiB | 2025-03-02 16:17:22 |
| baresip-4.1.0-r1.apk | 989.4 KiB | 2026-01-24 12:59:20 |
| lomiri-system-settings-1.3.2-r1.apk | 996.0 KiB | 2026-01-14 03:13:18 |
| watchbind-0.2.1-r1.apk | 997.5 KiB | 2024-10-25 19:09:07 |
| kismet-logtools-0.202509.1-r0.apk | 997.9 KiB | 2025-10-09 04:07:59 |
| cliphist-0.7.0-r2.apk | 998.1 KiB | 2026-01-19 00:22:16 |
| sshsrv-1.0-r18.apk | 998.2 KiB | 2026-01-19 00:22:32 |
| sturmreader-3.7.2-r2.apk | 998.6 KiB | 2025-09-09 13:17:36 |
| boinc-gui-7.24.3-r0.apk | 1000.9 KiB | 2024-10-25 19:06:00 |
| synapse-bt-1.0-r4.apk | 1001.9 KiB | 2024-10-25 19:08:52 |
| qt6-qtgraphs-6.10.1-r0.apk | 1002.7 KiB | 2025-12-10 17:06:24 |
| gearman-dev-1.1.22-r0.apk | 1003.5 KiB | 2025-09-09 13:17:26 |
| bzmenu-0.2.1-r3.apk | 1004.0 KiB | 2025-08-09 00:22:02 |
| font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-10-25 19:07:12 |
| crun-vm-0.3.0-r0.apk | 1005.8 KiB | 2024-11-12 11:34:15 |
| draw-0.1.1-r19.apk | 1006.6 KiB | 2026-01-19 00:22:18 |
| imgdiff-1.0.2-r32.apk | 1009.6 KiB | 2026-01-19 00:22:22 |
| rollup-4.55.2-r0.apk | 1011.9 KiB | 2026-01-22 23:42:54 |
| brial-1.2.11-r4.apk | 1012.0 KiB | 2024-10-25 19:06:00 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.3 KiB | 2024-10-25 19:08:12 |
| cluster-glue-dev-1.0.12-r5.apk | 1014.3 KiB | 2024-10-25 19:06:04 |
| qflipper-gui-1.3.3-r1.apk | 1022.8 KiB | 2024-10-25 19:08:19 |
| dewduct-0.2.3-r0.apk | 1.0 MiB | 2024-10-25 19:06:14 |
| elementary-photos-lang-8.0.1-r2.apk | 1.0 MiB | 2026-01-21 19:05:21 |
| cutechess-1.3.1-r0.apk | 1.0 MiB | 2024-10-25 19:06:06 |
| cargo-update-18.0.0-r0.apk | 1.0 MiB | 2025-10-28 07:24:37 |
| dvisvgm-3.4.4-r0.apk | 1.0 MiB | 2025-05-18 22:44:13 |
| ol-2.6-r0.apk | 1.0 MiB | 2025-05-11 11:57:51 |
| yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-04-15 22:14:44 |
| ocfs2-tools-1.8.7-r4.apk | 1.0 MiB | 2024-10-25 19:08:02 |
| timeshift-lang-25.12.4-r0.apk | 1.0 MiB | 2026-01-08 21:42:25 |
| py3-beartype-0.22.9-r0.apk | 1.0 MiB | 2025-12-15 18:33:30 |
| elementary-photos-8.0.1-r2.apk | 1.0 MiB | 2026-01-21 19:05:21 |
| xonsh-pyc-0.19.9-r0.apk | 1.0 MiB | 2025-10-31 11:57:23 |
| cartero-0.2.2-r0.apk | 1.0 MiB | 2025-10-12 23:55:41 |
| tailspin-5.5.0-r0.apk | 1.0 MiB | 2025-10-28 06:57:36 |
| flamelens-0.3.1-r0.apk | 1.0 MiB | 2025-05-11 11:57:41 |
| pcl-libs-1.15.1-r0.apk | 1.0 MiB | 2026-01-19 00:22:27 |
| swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-12-21 10:08:18 |
| quodlibet-4.7.1-r0.apk | 1.0 MiB | 2025-09-19 14:53:45 |
| xed-3.8.4-r0.apk | 1.0 MiB | 2025-10-23 07:55:24 |
| mdbook-katex-0.9.4-r0.apk | 1.0 MiB | 2025-05-17 13:32:51 |
| supersonik-0.1.0-r3.apk | 1.0 MiB | 2025-12-04 13:54:46 |
| taskwarrior-tui-0.26.3-r0.apk | 1.1 MiB | 2025-03-26 10:25:04 |
| ktx-4.3.2-r1.apk | 1.1 MiB | 2025-06-10 02:49:42 |
| speedcrunch-0.12-r3.apk | 1.1 MiB | 2024-10-25 19:08:48 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-10-25 19:08:12 |
| tartube-pyc-2.5.0-r2.apk | 1.1 MiB | 2025-12-10 17:06:24 |
| mir-test-tools-2.25.2-r0.apk | 1.1 MiB | 2025-12-18 14:17:18 |
| libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-10-25 19:07:34 |
| fava-1.28-r0.apk | 1.1 MiB | 2024-10-25 19:07:11 |
| kbs2-0.7.3-r0.apk | 1.1 MiB | 2025-07-30 07:28:52 |
| limnoria-20240828-r0.apk | 1.1 MiB | 2024-10-25 19:07:36 |
| ffmpeg4-libavformat-4.4.6-r0.apk | 1.1 MiB | 2026-01-04 01:24:33 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-10-25 19:07:15 |
| repgrep-0.15.0-r0.apk | 1.1 MiB | 2024-10-25 19:08:23 |
| flawz-0.3.0-r0.apk | 1.1 MiB | 2024-11-03 21:06:33 |
| chimerautils-15.0.3-r0.apk | 1.1 MiB | 2026-01-11 01:23:49 |
| draco-tools-1.5.7-r2.apk | 1.1 MiB | 2025-02-22 12:17:56 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-10-25 19:08:15 |
| ecasound-dev-2.9.3-r4.apk | 1.1 MiB | 2025-02-24 22:28:22 |
| prjtrellis-1.4-r2.apk | 1.1 MiB | 2024-10-25 19:08:12 |
| circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-10-25 19:06:03 |
| lv_font_conv-1.5.3-r0.apk | 1.1 MiB | 2025-08-19 19:54:04 |
| lutris-pyc-0.5.19-r1.apk | 1.1 MiB | 2025-09-19 14:53:45 |
| yaru-icon-theme-bark-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:46:08 |
| emulationstation-2.11.2-r1.apk | 1.1 MiB | 2024-10-25 19:07:07 |
| pulsar-client-cpp-3.7.1-r0.apk | 1.1 MiB | 2025-06-12 12:11:56 |
| cargo-machete-0.9.1-r0.apk | 1.1 MiB | 2025-08-17 18:48:30 |
| yaru-icon-theme-olive-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:46:08 |
| yaru-icon-theme-magenta-25.10.3-r0.apk | 1.1 MiB | 2026-01-06 05:46:08 |
| openterface-qt-0.5.11-r0.apk | 1.2 MiB | 2026-01-22 23:43:43 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-10-25 19:08:19 |
| yaru-icon-theme-viridian-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1.2 MiB | 2025-11-03 06:49:14 |
| yaru-icon-theme-prussiangreen-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| go-mtpfs-1.0.0-r34.apk | 1.2 MiB | 2026-01-19 00:22:19 |
| xwayland-satellite-0.8-r0.apk | 1.2 MiB | 2026-01-19 00:22:38 |
| yaru-icon-theme-yellow-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| dotenv-linter-4.0.0-r0.apk | 1.2 MiB | 2025-11-22 16:20:47 |
| yaru-icon-theme-blue-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| hctl-0.2.7-r0.apk | 1.2 MiB | 2025-05-14 00:04:33 |
| yaru-icon-theme-wartybrown-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| piping-server-0.18.0-r0.apk | 1.2 MiB | 2024-10-25 19:08:11 |
| yaru-icon-theme-sage-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| pegasus-frontend-16_alpha-r0.apk | 1.2 MiB | 2024-10-25 19:08:07 |
| lomiri-ui-toolkit-1.3.5110-r5.apk | 1.2 MiB | 2025-12-18 14:17:18 |
| dcmtk-3.7.0-r0.apk | 1.2 MiB | 2025-12-28 20:54:41 |
| gimp-plugin-gmic-3.6.0-r2.apk | 1.2 MiB | 2026-01-03 16:23:02 |
| sqlmap-pyc-1.10-r0.apk | 1.2 MiB | 2026-01-12 17:39:04 |
| budgie-desktop-10.9.2-r0.apk | 1.2 MiB | 2025-10-16 17:07:38 |
| py3-textual-pyc-5.3.0-r0.apk | 1.2 MiB | 2025-10-27 08:30:46 |
| yaru-icon-theme-purple-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-10-25 19:07:15 |
| yaru-icon-theme-red-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| megazeux-2.93d-r0.apk | 1.2 MiB | 2025-06-10 13:44:50 |
| duf-0.9.1-r3.apk | 1.2 MiB | 2026-01-19 00:22:18 |
| httplz-2.2.0-r0.apk | 1.2 MiB | 2025-05-18 15:38:59 |
| yaru-sounds-25.10.3-r0.apk | 1.2 MiB | 2026-01-06 05:46:08 |
| up-0.4-r35.apk | 1.2 MiB | 2026-01-19 00:22:35 |
| compiz-lang-0.9.14.2-r13.apk | 1.2 MiB | 2025-11-29 00:00:59 |
| captive-browser-0_git20210801-r3.apk | 1.2 MiB | 2026-01-19 00:22:15 |
| limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-10-25 19:07:36 |
| gnu-apl-1.9-r0.apk | 1.2 MiB | 2024-10-25 19:07:17 |
| ktx-libs-4.3.2-r1.apk | 1.2 MiB | 2025-06-10 02:49:42 |
| mpv-sponsorblock-2.2.0-r0.apk | 1.2 MiB | 2025-06-20 04:10:15 |
| pwndbg-pyc-2025.10.20-r0.apk | 1.2 MiB | 2025-12-31 14:33:53 |
| mapserver-8.4.1-r1.apk | 1.2 MiB | 2025-12-04 13:54:38 |
| orage-lang-4.21.0-r0.apk | 1.2 MiB | 2026-01-06 14:22:37 |
| chess-tui-2.0.0-r0.apk | 1.2 MiB | 2025-12-13 01:11:32 |
| genact-1.5.0-r0.apk | 1.2 MiB | 2026-01-22 22:49:51 |
| fpc-doc-3.2.2-r4.apk | 1.2 MiB | 2024-10-25 19:07:14 |
| baikal-0.10.1-r1.apk | 1.3 MiB | 2025-05-27 21:41:00 |
| hfst-3.16.2-r1.apk | 1.3 MiB | 2026-01-14 03:13:18 |
| libretro-beetle-saturn-0_git20220417-r0.apk | 1.3 MiB | 2024-10-25 19:07:34 |
| sc-controller-0.5.5-r0.apk | 1.3 MiB | 2025-12-14 08:56:06 |
| pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-03-25 07:55:05 |
| rathole-0.5.0-r0.apk | 1.3 MiB | 2024-10-25 19:08:22 |
| beancount-language-server-1.4.1-r0.apk | 1.3 MiB | 2025-08-08 16:10:30 |
| reaction-2.2.1-r0.apk | 1.3 MiB | 2025-09-23 20:48:58 |
| ckb-next-0.6.2-r1.apk | 1.3 MiB | 2025-09-09 13:17:24 |
| octoprint-pyc-1.11.5-r0.apk | 1.3 MiB | 2026-01-19 00:22:27 |
| perl-cpansa-db-20250807.001-r0.apk | 1.3 MiB | 2025-09-01 13:16:07 |
| drogon-1.9.4-r2.apk | 1.3 MiB | 2025-05-22 06:51:53 |
| dartaotruntime-3.10.3-r1.apk | 1.3 MiB | 2026-01-14 03:13:17 |
| onionshare-desktop-2.6.3-r1.apk | 1.3 MiB | 2025-11-17 15:33:27 |
| primesieve-dev-12.10-r0.apk | 1.3 MiB | 2025-11-25 19:08:09 |
| snippets-ls-0.0.4_git20240617-r11.apk | 1.3 MiB | 2026-01-19 00:22:31 |
| libsemigroups-static-2.7.3-r1.apk | 1.3 MiB | 2024-12-14 19:23:33 |
| flann-dev-1.9.2-r1.apk | 1.3 MiB | 2025-02-22 12:17:56 |
| ffmpeg4-libavfilter-4.4.6-r0.apk | 1.3 MiB | 2026-01-04 01:24:33 |
| lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-10-25 19:07:38 |
| makeclapman-2.4.4-r11.apk | 1.3 MiB | 2026-01-19 00:22:25 |
| kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1.3 MiB | 2024-10-25 19:07:30 |
| yaru-icon-theme-mate-25.10.3-r0.apk | 1.3 MiB | 2026-01-06 05:46:08 |
| keystone-0.9.2-r6.apk | 1.3 MiB | 2024-10-25 19:07:29 |
| mobroute-doc-0.10.0-r6.apk | 1.3 MiB | 2026-01-19 00:22:26 |
| gpsbabel-1.10.0-r0.apk | 1.3 MiB | 2025-11-06 13:14:03 |
| sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-10-25 19:08:42 |
| noggin-0.1-r24.apk | 1.3 MiB | 2026-01-19 00:22:26 |
| qgis-grass-3.44.5-r0.apk | 1.4 MiB | 2025-12-04 13:54:42 |
| rustscan-2.4.1-r0.apk | 1.4 MiB | 2025-12-22 02:32:30 |
| py3-pyglm-2.7.3-r0.apk | 1.4 MiB | 2024-11-06 09:41:46 |
| font-openmoji-16.0.0-r0.apk | 1.4 MiB | 2025-08-11 06:31:05 |
| lout-3.42.2-r0.apk | 1.4 MiB | 2024-10-25 19:07:38 |
| faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-06-07 17:02:09 |
| chim-1.1.2-r1.apk | 1.4 MiB | 2024-10-25 19:06:02 |
| ffsend-0.2.76-r4.apk | 1.4 MiB | 2024-10-25 19:07:12 |
| lomiri-content-hub-doc-2.2.1-r0.apk | 1.4 MiB | 2025-12-10 17:06:24 |
| nextpnr-gowin-0.7-r0.apk | 1.4 MiB | 2024-10-25 19:07:53 |
| nwg-look-1.0.6-r2.apk | 1.4 MiB | 2026-01-19 00:22:26 |
| ijq-1.2.0-r3.apk | 1.4 MiB | 2026-01-19 00:22:22 |
| quodlibet-lang-4.7.1-r0.apk | 1.4 MiB | 2025-09-19 14:53:45 |
| mame-lang-0.251-r0.apk | 1.4 MiB | 2024-10-25 19:07:43 |
| watershot-0.2.0-r0.apk | 1.4 MiB | 2024-10-25 19:09:07 |
| apmpkg-1.5.1-r3.apk | 1.4 MiB | 2024-10-25 19:05:54 |
| neocmakelsp-0.9.1-r0.apk | 1.4 MiB | 2026-01-10 07:00:34 |
| openocd-riscv-0_git20230104-r2.apk | 1.5 MiB | 2024-10-25 19:08:03 |
| ibus-typing-booster-pyc-2.29.0-r0.apk | 1.5 MiB | 2025-12-16 14:54:12 |
| godini-1.0.0-r6.apk | 1.5 MiB | 2026-01-19 00:22:19 |
| chocolate-doom-3.1.1-r0.apk | 1.5 MiB | 2025-08-19 01:30:36 |
| igrep-1.2.0-r0.apk | 1.5 MiB | 2024-10-25 19:07:25 |
| freshrss-themes-1.28.0-r0.apk | 1.5 MiB | 2026-01-02 01:39:22 |
| legume-1.4.2-r15.apk | 1.5 MiB | 2026-01-19 00:22:25 |
| font-andika-six-6.210-r0.apk | 1.5 MiB | 2025-09-28 12:06:15 |
| stam-0.12.2-r0.apk | 1.5 MiB | 2025-09-22 12:10:18 |
| libigraph-1.0.1-r0.apk | 1.5 MiB | 2025-12-30 13:37:10 |
| cadence-0.9.2-r1.apk | 1.5 MiB | 2025-10-09 10:53:53 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1.5 MiB | 2025-08-13 03:46:26 |
| xsane-0.999-r2.apk | 1.5 MiB | 2024-10-25 19:09:09 |
| glslviewer-3.2.4-r2.apk | 1.5 MiB | 2025-08-28 17:48:04 |
| armagetronad-0.2.9.1.1-r0.apk | 1.5 MiB | 2024-10-25 19:05:55 |
| buildbot-pyc-4.3.0-r1.apk | 1.5 MiB | 2026-01-23 15:51:28 |
| youki-0.5.7-r0.apk | 1.5 MiB | 2026-01-05 20:17:26 |
| elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-01-12 20:34:50 |
| nwg-bar-0.1.6-r16.apk | 1.5 MiB | 2026-01-19 00:22:26 |
| dstask-0.27-r5.apk | 1.5 MiB | 2026-01-19 00:22:18 |
| keystone-python-0.9.2-r6.apk | 1.5 MiB | 2024-10-25 19:07:29 |
| sfizz-1.2.3-r1.apk | 1.5 MiB | 2025-10-02 14:29:59 |
| py3-tokenizers-0.21.2-r0.apk | 1.5 MiB | 2025-07-01 18:10:43 |
| gmic-qt-3.6.0-r2.apk | 1.5 MiB | 2026-01-03 16:23:02 |
| flann-1.9.2-r1.apk | 1.5 MiB | 2025-02-22 12:17:56 |
| boinc-7.24.3-r0.apk | 1.5 MiB | 2024-10-25 19:05:59 |
| empede-0.2.3-r0.apk | 1.5 MiB | 2024-10-25 19:07:07 |
| mage-1.13.0-r23.apk | 1.5 MiB | 2025-05-12 07:06:31 |
| eludris-0.3.3-r1.apk | 1.5 MiB | 2024-10-25 19:07:07 |
| catppuccin-whiskers-2.5.1-r0.apk | 1.5 MiB | 2025-11-29 19:00:04 |
| kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-10-25 19:07:29 |
| ouch-0.6.1-r0.apk | 1.5 MiB | 2025-05-28 07:38:11 |
| gobang-0.1.0_alpha5-r1.apk | 1.5 MiB | 2024-10-25 19:07:17 |
| antimicrox-3.5.1-r0.apk | 1.5 MiB | 2025-06-13 14:11:53 |
| wlroots0.18-dbg-0.18.3-r0.apk | 1.5 MiB | 2025-11-28 23:54:22 |
| aqemu-0.9.4-r3.apk | 1.5 MiB | 2024-10-25 19:05:55 |
| libabigail-dev-2.8-r0.apk | 1.5 MiB | 2025-11-02 19:50:28 |
| proverif-2.05-r1.apk | 1.5 MiB | 2026-01-11 22:38:51 |
| goxel-0.15.1-r0.apk | 1.6 MiB | 2024-10-25 19:07:19 |
| draco-static-1.5.7-r2.apk | 1.6 MiB | 2025-02-22 12:17:56 |
| siril-lang-1.2.6-r5.apk | 1.6 MiB | 2025-09-27 21:20:19 |
| gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-10-25 19:07:17 |
| nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-05-11 11:57:50 |
| voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-10-25 19:09:06 |
| 66-dev-0.8.2.1-r0.apk | 1.6 MiB | 2025-06-03 19:11:19 |
| amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-10-25 19:05:54 |
| zydis-doc-4.1.0-r0.apk | 1.6 MiB | 2024-10-25 19:09:12 |
| virtualgl-3.1.4-r0.apk | 1.6 MiB | 2025-10-09 00:17:41 |
| fheroes2-1.1.13-r0.apk | 1.6 MiB | 2025-12-22 16:41:54 |
| scap-workbench-doc-1.2.1-r3.apk | 1.6 MiB | 2024-10-25 19:08:42 |
| android-build-tools-15.0-r1.apk | 1.6 MiB | 2025-12-11 22:06:44 |
| protoc-gen-bq-schema-3.1.0-r1.apk | 1.6 MiB | 2026-01-19 00:22:29 |
| bacon-3.18.0-r0.apk | 1.6 MiB | 2025-09-30 17:38:31 |
| xmoto-0.6.3-r0.apk | 1.6 MiB | 2025-10-03 17:02:33 |
| asdf-0.18.0-r6.apk | 1.6 MiB | 2026-01-19 00:22:14 |
| magpie-0.9.4-r0.apk | 1.6 MiB | 2025-10-16 17:07:38 |
| py3-pyglet-pyc-2.1.5-r1.apk | 1.6 MiB | 2025-08-27 14:46:00 |
| wl-gammarelay-0.1.3-r5.apk | 1.6 MiB | 2026-01-19 00:22:38 |
| pomo-0.8.1-r29.apk | 1.6 MiB | 2026-01-19 00:22:28 |
| yamldiff-0.3.0-r4.apk | 1.6 MiB | 2026-01-19 00:22:38 |
| openocd-git-0_git20251018-r1.apk | 1.6 MiB | 2025-10-20 19:44:32 |
| crispy-doom-7.1-r0.apk | 1.6 MiB | 2025-09-24 02:49:21 |
| freshrss-1.28.0-r0.apk | 1.7 MiB | 2026-01-02 01:39:22 |
| spotify-tui-0.25.0-r2.apk | 1.7 MiB | 2024-10-25 19:08:48 |
| nwg-dock-0.4.3-r6.apk | 1.7 MiB | 2026-01-19 00:22:26 |
| mint-y-theme-gtk4-2.3.7-r1.apk | 1.7 MiB | 2026-01-24 14:18:44 |
| dcmtk-dev-3.7.0-r0.apk | 1.7 MiB | 2025-12-28 20:54:41 |
| php81-embed-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| daktilo-0.6.0-r0.apk | 1.7 MiB | 2024-10-25 19:06:06 |
| lutgen-1.0.1-r0.apk | 1.7 MiB | 2025-11-20 03:26:01 |
| php81-apache2-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| php81-cgi-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| tui-journal-0.10.0-r0.apk | 1.7 MiB | 2024-10-25 19:09:04 |
| smb4k-4.0.5-r0.apk | 1.7 MiB | 2026-01-11 01:17:10 |
| emmylua-check-0.19.0-r0.apk | 1.7 MiB | 2026-01-24 12:55:53 |
| numbat-1.16.0-r0.apk | 1.7 MiB | 2025-08-19 13:11:22 |
| pwntools-pyc-4.15.0-r0.apk | 1.7 MiB | 2025-12-31 14:33:53 |
| noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-10-25 19:07:54 |
| cocogitto-6.5.0-r0.apk | 1.7 MiB | 2025-11-02 18:21:50 |
| psi-plus-plugins-1.5.2096-r0.apk | 1.7 MiB | 2025-09-30 12:50:54 |
| php81-litespeed-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| ovn-25.09.2-r0.apk | 1.7 MiB | 2025-12-14 22:05:19 |
| brial-dev-1.2.11-r4.apk | 1.7 MiB | 2024-10-25 19:06:00 |
| php81-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| hfst-libs-3.16.2-r1.apk | 1.7 MiB | 2026-01-14 03:13:18 |
| php82-embed-8.2.30-r2.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| edward-1.1.0-r0.apk | 1.7 MiB | 2024-10-25 19:07:06 |
| php82-apache2-8.2.30-r2.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| php82-cgi-8.2.30-r2.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| android-apkeep-0.17.0-r0.apk | 1.7 MiB | 2024-10-25 19:05:54 |
| qgis-server-3.44.5-r0.apk | 1.7 MiB | 2025-12-04 13:54:43 |
| php82-litespeed-8.2.30-r2.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| stalwart-cli-0.15.4-r3.apk | 1.7 MiB | 2026-01-22 00:30:29 |
| php81-phpdbg-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| php81-fpm-8.1.34-r1.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| php82-8.2.30-r2.apk | 1.7 MiB | 2026-01-14 03:13:20 |
| sydbox-test-3.45.2-r0.apk | 1.7 MiB | 2025-12-03 02:58:35 |
| grcov-0.8.20-r0.apk | 1.7 MiB | 2024-11-11 10:03:19 |
| runst-0.2.0-r0.apk | 1.8 MiB | 2025-11-17 15:33:27 |
| percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-10-25 19:08:07 |
| decoder-0.7.0-r0.apk | 1.8 MiB | 2025-04-11 18:19:01 |
| php82-phpdbg-8.2.30-r2.apk | 1.8 MiB | 2026-01-14 03:13:20 |
| antibody-6.1.1-r34.apk | 1.8 MiB | 2026-01-19 00:22:14 |
| php82-fpm-8.2.30-r2.apk | 1.8 MiB | 2026-01-14 03:13:20 |
| solarus-engine-1.7.0-r2.apk | 1.8 MiB | 2025-07-24 13:42:51 |
| yices2-2.6.5-r0.apk | 1.8 MiB | 2025-03-03 00:14:54 |
| tintin-2.02.51-r0.apk | 1.8 MiB | 2025-11-24 12:41:44 |
| ovn-dev-25.09.2-r0.apk | 1.8 MiB | 2025-12-14 22:05:19 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-10-25 19:08:16 |
| quodlibet-pyc-4.7.1-r0.apk | 1.8 MiB | 2025-09-19 14:53:45 |
| efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-03-23 15:03:53 |
| fheroes2-lang-1.1.13-r0.apk | 1.8 MiB | 2025-12-22 16:41:54 |
| mkcert-1.4.4-r25.apk | 1.8 MiB | 2026-01-19 00:22:26 |
| teapot-tools-0.4.2-r2.apk | 1.8 MiB | 2024-10-25 19:08:53 |
| proverif-interact-2.05-r1.apk | 1.8 MiB | 2026-01-11 22:38:51 |
| gyosu-0.2.0-r4.apk | 1.8 MiB | 2026-01-19 00:22:20 |
| so-0.4.10-r0.apk | 1.8 MiB | 2024-10-25 19:08:47 |
| primecount-dev-7.20-r0.apk | 1.9 MiB | 2025-11-25 19:08:09 |
| commit-lsp-0.1.0-r0.apk | 1.9 MiB | 2025-05-11 11:57:39 |
| parpar-0.4.5-r1.apk | 1.9 MiB | 2025-12-29 15:46:09 |
| lomiri-location-service-3.3.0-r6.apk | 1.9 MiB | 2026-01-19 00:22:25 |
| bitritter-0.1.1-r0.apk | 1.9 MiB | 2024-10-25 19:05:59 |
| py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-10-25 19:08:18 |
| openocd-esp32-0_git20250707-r2.apk | 1.9 MiB | 2025-10-20 19:44:32 |
| sqruff-0.25.26-r0.apk | 1.9 MiB | 2025-04-13 20:34:52 |
| sssd-2.11.1-r2.apk | 1.9 MiB | 2025-11-20 22:09:06 |
| alacritty-graphics-0.16.1-r0.apk | 1.9 MiB | 2025-12-18 00:03:06 |
| sydbox-syd-3.45.2-r0.apk | 1.9 MiB | 2025-12-03 02:58:35 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-02-01 17:06:23 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1.9 MiB | 2024-10-25 19:09:06 |
| musikcube-3.0.5-r0.apk | 1.9 MiB | 2025-09-27 21:20:19 |
| rss-email-0.5.1-r0.apk | 1.9 MiB | 2025-08-10 11:21:15 |
| yubikey-agent-0.1.6-r18.apk | 1.9 MiB | 2026-01-19 00:22:38 |
| tachyon-scenes-0.99_beta6-r2.apk | 1.9 MiB | 2025-12-10 17:06:24 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk | 1.9 MiB | 2024-10-25 19:08:16 |
| xmpp-dns-0.2.4-r30.apk | 1.9 MiB | 2026-01-19 00:22:38 |
| openfpgaloader-0.11.0-r0.apk | 1.9 MiB | 2024-10-25 19:08:03 |
| wiki-tui-0.9.1-r0.apk | 1.9 MiB | 2025-11-02 18:13:20 |
| trippy-0.13.0-r0.apk | 2.0 MiB | 2025-05-19 08:28:37 |
| simgear-2024.1.1-r0.apk | 2.0 MiB | 2025-03-05 00:14:54 |
| mint-y-theme-gtk3-2.3.7-r1.apk | 2.0 MiB | 2026-01-24 14:18:44 |
| jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-05-29 11:58:13 |
| authenticator-rs-0.8.6-r0.apk | 2.0 MiB | 2025-09-01 18:27:04 |
| charta-0.8.2-r2.apk | 2.0 MiB | 2026-01-19 00:22:16 |
| homebank-5.9.5-r0.apk | 2.0 MiB | 2025-10-14 14:54:01 |
| font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-10-25 19:07:13 |
| rustls-ffi-dev-0.15.0-r0.apk | 2.0 MiB | 2025-12-26 22:37:49 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2.0 MiB | 2025-09-29 06:12:46 |
| swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-12-21 10:08:18 |
| mir-2.25.2-r0.apk | 2.1 MiB | 2025-12-18 14:17:18 |
| font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-10-25 19:07:13 |
| librespot-0.8.0-r0.apk | 2.1 MiB | 2025-11-20 03:26:01 |
| xed-lang-3.8.4-r0.apk | 2.1 MiB | 2025-10-23 07:55:24 |
| emmylua-doc-cli-0.19.0-r0.apk | 2.1 MiB | 2026-01-24 12:55:53 |
| kile-3.0_beta4-r0.apk | 2.1 MiB | 2025-10-27 14:06:12 |
| cargo-generate-0.23.5-r0.apk | 2.1 MiB | 2025-10-04 13:15:41 |
| monetdb-11.33.11-r4.apk | 2.1 MiB | 2024-10-25 19:07:51 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-10-25 19:08:12 |
| klevernotes-1.1.0-r0.apk | 2.1 MiB | 2024-10-25 19:07:29 |
| rustdesk-server-1.1.10.3-r0.apk | 2.1 MiB | 2024-10-25 19:08:24 |
| font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-10-25 19:07:13 |
| apx-3.0.1-r0.apk | 2.1 MiB | 2026-01-22 16:14:26 |
| wmi-client-1.3.16-r5.apk | 2.1 MiB | 2024-10-25 19:09:08 |
| hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-10-25 19:07:23 |
| protoc-gen-go-grpc-1.77.0-r1.apk | 2.2 MiB | 2026-01-19 00:22:29 |
| libretro-ppsspp-0_git20210516-r15.apk | 2.2 MiB | 2025-04-11 18:19:10 |
| vice-doc-3.9-r3.apk | 2.2 MiB | 2026-01-09 20:09:13 |
| hurl-7.1.0-r0.apk | 2.2 MiB | 2025-12-05 18:41:45 |
| bootloose-0.7.1-r17.apk | 2.2 MiB | 2026-01-19 00:22:15 |
| py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk | 2.2 MiB | 2024-10-25 19:08:17 |
| font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-10-25 19:07:13 |
| mame-tools-0.251-r0.apk | 2.2 MiB | 2024-10-25 19:07:44 |
| ergo-ldap-0.0.1-r23.apk | 2.2 MiB | 2026-01-19 00:22:18 |
| protoc-gen-go-1.36.11-r1.apk | 2.2 MiB | 2026-01-19 00:22:29 |
| wayfire-0.9.0-r0.apk | 2.2 MiB | 2025-02-27 20:17:05 |
| satellite-1.0.0-r34.apk | 2.3 MiB | 2026-01-19 00:22:30 |
| pgcat-1.2.0-r1.apk | 2.3 MiB | 2025-01-01 17:37:40 |
| botan2-libs-2.19.5-r0.apk | 2.3 MiB | 2025-05-29 05:44:33 |
| certstrap-1.3.0-r30.apk | 2.3 MiB | 2026-01-19 00:22:15 |
| twinkle-1.10.3-r3.apk | 2.3 MiB | 2025-01-04 21:55:13 |
| libmdbx-dbg-0.11.8-r0.apk | 2.3 MiB | 2024-10-25 19:07:33 |
| font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-10-25 19:07:13 |
| qownnotes-25.12.5-r0.apk | 2.4 MiB | 2025-12-14 19:46:40 |
| ssh-get-id-0.2.0-r1.apk | 2.4 MiB | 2026-01-19 00:22:32 |
| gnome-mahjongg-49.0.1-r0.apk | 2.4 MiB | 2025-10-18 21:03:16 |
| protoc-gen-gorm-1.1.5-r1.apk | 2.4 MiB | 2026-01-19 00:22:29 |
| kronosnet-dev-1.32-r0.apk | 2.4 MiB | 2025-12-27 09:47:56 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk | 2.4 MiB | 2024-10-25 19:08:17 |
| aprilsh-server-0.7.12-r11.apk | 2.4 MiB | 2026-01-19 00:22:14 |
| rizin-cutter-2.4.1-r1.apk | 2.4 MiB | 2026-01-06 19:06:51 |
| dlib-dev-20.0-r0.apk | 2.4 MiB | 2026-01-19 00:22:18 |
| linphone-libs-5.3.38-r0.apk | 2.4 MiB | 2024-10-25 19:07:36 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-10-25 19:08:19 |
| gtkwave-3.3.120-r0.apk | 2.4 MiB | 2024-10-25 19:07:21 |
| ircdog-0.5.4-r11.apk | 2.5 MiB | 2026-01-19 00:22:22 |
| py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-10-25 19:08:19 |
| comet-0.3.2-r0.apk | 2.5 MiB | 2026-01-05 01:46:12 |
| goreman-0.3.15-r19.apk | 2.5 MiB | 2026-01-19 00:22:19 |
| secsipidx-libs-1.3.2-r18.apk | 2.5 MiB | 2026-01-19 00:22:31 |
| innernet-1.6.1-r0.apk | 2.5 MiB | 2024-10-25 19:07:25 |
| libretro-mame2000-0_git20240701-r0.apk | 2.5 MiB | 2024-10-25 19:07:34 |
| normaliz-libs-3.10.4-r3.apk | 2.5 MiB | 2025-11-26 08:23:40 |
| py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-10-25 19:08:19 |
| copyq-13.0.0-r1.apk | 2.5 MiB | 2025-12-04 13:54:13 |
| sgt-puzzles-0_git20230310-r2.apk | 2.5 MiB | 2024-10-25 19:08:46 |
| avahi2dns-0.1.0-r9.apk | 2.5 MiB | 2026-01-20 02:09:50 |
| imapgoose-0.4.1-r2.apk | 2.5 MiB | 2026-01-19 00:22:22 |
| ipp-usb-0.9.30-r6.apk | 2.5 MiB | 2026-01-19 00:22:22 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-01-10 15:33:43 |
| siril-1.2.6-r5.apk | 2.5 MiB | 2025-09-27 21:20:19 |
| mdbook-linkcheck-0.7.7-r0.apk | 2.5 MiB | 2025-05-16 19:17:19 |
| cherrytree-1.4.0-r0.apk | 2.5 MiB | 2025-03-26 17:40:20 |
| emmylua-ls-0.19.0-r0.apk | 2.5 MiB | 2026-01-24 12:55:53 |
| mmar-0.2.5-r1.apk | 2.5 MiB | 2025-05-12 07:06:32 |
| docker-volume-local-persist-1.3.0-r39.apk | 2.6 MiB | 2026-01-19 00:22:18 |
| simh-3.11.1-r1.apk | 2.6 MiB | 2024-10-25 19:08:46 |
| hpnssh-18.8.0-r0.apk | 2.6 MiB | 2025-11-28 19:39:08 |
| redlib-0.36.0-r0.apk | 2.6 MiB | 2025-10-27 08:30:47 |
| rtl8192eu-src-5.11.2.3_git20251220-r0.apk | 2.6 MiB | 2026-01-10 17:55:06 |
| aports-glmr-0.2-r34.apk | 2.6 MiB | 2026-01-19 00:22:14 |
| tty-proxy-0.0.2-r34.apk | 2.6 MiB | 2026-01-19 00:22:35 |
| lychee-0.19.1-r0.apk | 2.6 MiB | 2025-06-20 04:10:15 |
| rtl8812au-src-5.6.4.2_git20250530-r0.apk | 2.6 MiB | 2025-05-31 16:23:53 |
| libgdcm-3.2.2-r3.apk | 2.6 MiB | 2025-12-02 05:04:12 |
| mailsec-check-0_git20210729-r32.apk | 2.6 MiB | 2026-01-19 00:22:25 |
| tangctl-0_git20241007-r10.apk | 2.6 MiB | 2026-01-19 00:22:32 |
| rizin-0.8.1-r0.apk | 2.7 MiB | 2025-07-01 18:10:44 |
| terminology-1.14.0-r0.apk | 2.7 MiB | 2025-05-27 22:49:09 |
| kile-lang-3.0_beta4-r0.apk | 2.7 MiB | 2025-10-27 14:06:12 |
| pitivi-2023.03-r2.apk | 2.7 MiB | 2024-12-23 01:13:56 |
| eww-0.6.0-r0.apk | 2.7 MiB | 2025-11-09 19:43:59 |
| k3sup-0.13.6-r11.apk | 2.7 MiB | 2026-01-19 00:22:22 |
| apt-swarm-0.5.1-r1.apk | 2.7 MiB | 2025-10-12 13:43:59 |
| tmpl-0.4.0-r17.apk | 2.7 MiB | 2026-01-19 00:22:34 |
| sydbox-oci-3.45.2-r0.apk | 2.7 MiB | 2025-12-03 02:58:35 |
| distroshelf-1.3.0-r0.apk | 2.7 MiB | 2025-12-27 15:42:55 |
| tartube-2.5.0-r2.apk | 2.7 MiB | 2025-12-10 17:06:24 |
| vym-2.9.26-r0.apk | 2.7 MiB | 2024-10-25 19:09:07 |
| font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-10-25 19:07:13 |
| sos-0.8-r37.apk | 2.7 MiB | 2026-01-19 00:22:31 |
| secsipidx-1.3.2-r18.apk | 2.8 MiB | 2026-01-19 00:22:31 |
| steamguard-cli-0.17.1-r0.apk | 2.8 MiB | 2025-07-15 10:28:50 |
| fceux-2.6.6-r4.apk | 2.8 MiB | 2025-09-27 13:40:39 |
| dmarc-cat-0.15.0-r12.apk | 2.8 MiB | 2026-01-19 00:22:18 |
| android-translation-layer-0_git20260106-r0.apk | 2.8 MiB | 2026-01-08 12:54:13 |
| vidcutter-6.0.5.3-r0.apk | 2.8 MiB | 2024-10-25 19:09:06 |
| ripasso-cursive-0.7.0-r1.apk | 2.8 MiB | 2026-01-19 00:22:30 |
| ntpd-rs-1.6.2-r2.apk | 2.8 MiB | 2025-12-18 17:57:22 |
| sipexer-1.2.0-r9.apk | 2.8 MiB | 2026-01-19 00:22:31 |
| suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-05-11 11:57:56 |
| pyonji-0.1.0-r12.apk | 2.9 MiB | 2026-01-19 00:22:29 |
| hub-2.14.2-r37.apk | 2.9 MiB | 2026-01-19 00:22:21 |
| budgie-control-center-1.4.0-r0.apk | 2.9 MiB | 2025-10-16 17:07:38 |
| consul-replicate-0.4.0-r37.apk | 2.9 MiB | 2026-01-19 00:22:17 |
| msh-2.5.0-r18.apk | 2.9 MiB | 2026-01-19 00:22:26 |
| py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-12-01 20:08:09 |
| recoll-1.43.9-r0.apk | 3.0 MiB | 2025-12-28 08:01:06 |
| walk-1.13.0-r9.apk | 3.0 MiB | 2026-01-19 00:22:37 |
| recyclarr-7.5.2-r0.apk | 3.0 MiB | 2025-12-13 17:36:18 |
| porla-0.41.0-r2.apk | 3.1 MiB | 2025-02-24 14:53:03 |
| gmic-libs-3.6.0-r2.apk | 3.1 MiB | 2026-01-03 16:23:02 |
| octoprint-1.11.5-r0.apk | 3.1 MiB | 2026-01-19 00:22:27 |
| svls-0.2.14-r0.apk | 3.1 MiB | 2025-12-13 03:00:39 |
| chimerautils-dbg-15.0.3-r0.apk | 3.1 MiB | 2026-01-11 01:23:49 |
| pwntools-4.15.0-r0.apk | 3.2 MiB | 2025-12-31 14:33:53 |
| butane-0.25.1-r3.apk | 3.2 MiB | 2026-01-19 00:22:15 |
| aws-ecr-get-login-password-1.0.0_rc2-r2.apk | 3.2 MiB | 2026-01-19 00:22:15 |
| lbb-0.10.4-r2.apk | 3.2 MiB | 2026-01-19 00:22:25 |
| symengine-0.12.0-r0.apk | 3.2 MiB | 2024-10-25 19:08:52 |
| aprilsh-client-0.7.12-r11.apk | 3.2 MiB | 2026-01-19 00:22:14 |
| gliderlabs-sigil-0.11.0-r11.apk | 3.2 MiB | 2026-01-19 00:22:19 |
| jsonnet-bundler-0.6.0-r11.apk | 3.2 MiB | 2026-01-19 00:22:22 |
| hardinfo2-2.2.13-r0.apk | 3.2 MiB | 2025-09-28 12:06:15 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3.3 MiB | 2024-10-25 19:07:07 |
| openrdap-0.9.1-r0.apk | 3.3 MiB | 2025-06-24 15:50:27 |
| webhookd-1.20.2-r6.apk | 3.3 MiB | 2026-01-19 00:22:38 |
| drupal7-7.103-r0.apk | 3.3 MiB | 2024-12-04 17:28:18 |
| maildir-rank-addr-1.4.1-r2.apk | 3.3 MiB | 2026-01-19 00:22:25 |
| nsh-dbg-0.4.2-r1.apk | 3.3 MiB | 2024-10-25 19:07:55 |
| ruuvi-prometheus-0.1.9-r8.apk | 3.3 MiB | 2026-01-19 00:22:30 |
| dockerize-0.9.6-r3.apk | 3.4 MiB | 2026-01-19 00:22:18 |
| vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-10-25 19:09:07 |
| netscanner-0.5.1-r1.apk | 3.4 MiB | 2024-10-25 19:07:52 |
| lomiri-download-manager-doc-0.3.0-r0.apk | 3.4 MiB | 2025-12-10 17:06:24 |
| prometheus-smtp2go-exporter-0.1.1-r5.apk | 3.4 MiB | 2026-01-19 00:22:29 |
| hilbish-2.3.4-r11.apk | 3.4 MiB | 2026-01-19 00:22:21 |
| khinsider-2.0.7-r26.apk | 3.4 MiB | 2026-01-19 00:22:23 |
| pug-0.6.5-r1.apk | 3.5 MiB | 2026-01-19 00:22:29 |
| ticker-5.0.7-r8.apk | 3.5 MiB | 2026-01-19 00:22:33 |
| frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-10-25 19:07:15 |
| dstask-import-0.27-r5.apk | 3.5 MiB | 2026-01-19 00:22:18 |
| protoc-gen-doc-1.5.1-r1.apk | 3.5 MiB | 2026-01-19 00:22:29 |
| wabt-1.0.37-r0.apk | 3.6 MiB | 2025-04-06 21:38:39 |
| kapow-0.7.1-r19.apk | 3.6 MiB | 2026-01-19 00:22:22 |
| openapi-tui-0.9.4-r1.apk | 3.6 MiB | 2024-10-25 19:08:03 |
| qgis-dev-3.44.5-r0.apk | 3.6 MiB | 2025-12-04 13:54:42 |
| gomp-1.0.0-r18.apk | 3.6 MiB | 2026-01-19 00:22:19 |
| phpactor-2025.10.17.0-r0.apk | 3.6 MiB | 2025-11-20 03:26:01 |
| maildir2rss-0.0.7-r11.apk | 3.6 MiB | 2026-01-19 00:22:25 |
| gobuster-3.8.0-r4.apk | 3.6 MiB | 2026-01-19 00:22:19 |
| advancemame-mess-3.9-r4.apk | 3.6 MiB | 2024-10-25 19:05:53 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3.6 MiB | 2025-05-12 07:06:37 |
| kubeconform-0.7.0-r4.apk | 3.6 MiB | 2026-01-19 00:22:24 |
| webtunnel-0.0.2-r7.apk | 3.7 MiB | 2026-01-19 00:22:38 |
| alpine-lift-0.2.0-r29.apk | 3.7 MiB | 2026-01-19 00:22:14 |
| lomiri-gallery-app-3.0.2-r2.apk | 3.7 MiB | 2025-08-19 08:53:11 |
| ghq-1.8.0-r7.apk | 3.7 MiB | 2026-01-19 00:22:19 |
| certigo-1.16.0-r29.apk | 3.7 MiB | 2026-01-19 00:22:15 |
| tty-share-2.4.0-r24.apk | 3.7 MiB | 2026-01-19 00:22:35 |
| autorestic-1.8.3-r11.apk | 3.7 MiB | 2026-01-19 00:22:14 |
| comics-downloader-0.33.8-r16.apk | 3.8 MiB | 2026-01-19 00:22:16 |
| lomiri-0.5.0-r4.apk | 3.8 MiB | 2025-12-18 14:17:18 |
| amule-2.3.3-r13.apk | 3.8 MiB | 2024-10-25 19:05:54 |
| tuios-0.5.1-r0.apk | 3.9 MiB | 2026-01-24 10:18:51 |
| otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-10-25 19:08:04 |
| sshm-1.10.0-r1.apk | 3.9 MiB | 2026-01-19 00:22:32 |
| speedtest_exporter-0.3.2-r21.apk | 3.9 MiB | 2026-01-19 00:22:31 |
| budgie-control-center-lang-1.4.0-r0.apk | 3.9 MiB | 2025-10-16 17:07:38 |
| modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-10-25 19:07:49 |
| phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-10-25 19:08:07 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-10-25 19:08:24 |
| rustical-0.11.11-r0.apk | 4.0 MiB | 2026-01-11 13:51:29 |
| java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-10-25 19:07:26 |
| invidtui-0.4.6-r11.apk | 4.0 MiB | 2026-01-19 00:22:22 |
| horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-10-25 19:07:24 |
| py3-drf-yasg-1.21.10-r0.apk | 4.1 MiB | 2025-07-15 10:28:49 |
| exercism-3.2.0-r19.apk | 4.1 MiB | 2026-01-19 00:22:18 |
| muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-05-12 11:42:11 |
| php81-pecl-grpc-1.76.0-r0.apk | 4.1 MiB | 2025-10-24 19:25:30 |
| php82-pecl-grpc-1.76.0-r0.apk | 4.1 MiB | 2025-10-24 19:25:31 |
| i3status-rust-0.34.0-r0.apk | 4.1 MiB | 2025-07-24 13:42:48 |
| php82-pecl-couchbase-4.3.0-r0.apk | 4.2 MiB | 2025-10-24 01:33:29 |
| php81-pecl-couchbase-4.3.0-r0.apk | 4.2 MiB | 2025-06-15 04:00:11 |
| cortex-tenant-1.15.7-r3.apk | 4.2 MiB | 2026-01-19 00:22:17 |
| prometheus-rethinkdb-exporter-1.0.1-r34.apk | 4.2 MiB | 2026-01-19 00:22:28 |
| gotify-cli-2.3.2-r11.apk | 4.2 MiB | 2026-01-19 00:22:20 |
| jsonnet-language-server-0.16.0-r2.apk | 4.2 MiB | 2026-01-19 00:22:22 |
| openocd-git-dbg-0_git20251018-r1.apk | 4.3 MiB | 2025-10-20 19:44:32 |
| flare-engine-1.14-r1.apk | 4.3 MiB | 2025-11-05 13:58:16 |
| atac-0.18.1-r0.apk | 4.3 MiB | 2024-11-25 21:43:45 |
| cargo-udeps-0.1.60-r0.apk | 4.3 MiB | 2026-01-09 00:35:55 |
| sonicradio-0.8.12-r1.apk | 4.3 MiB | 2026-01-19 00:22:31 |
| sydbox-3.45.2-r0.apk | 4.3 MiB | 2025-12-03 02:58:35 |
| projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-10-25 19:08:13 |
| abc-0_git20240102-r0.apk | 4.4 MiB | 2024-10-25 19:05:52 |
| arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-10-25 19:05:55 |
| pspp-dbg-2.0.1-r1.apk | 4.4 MiB | 2025-10-08 10:22:43 |
| geodns-logs-3.3.0-r19.apk | 4.4 MiB | 2026-01-19 00:22:19 |
| mqtt2prometheus-0.1.7-r22.apk | 4.4 MiB | 2026-01-19 00:22:26 |
| cargo-shuttle-0.56.6-r0.apk | 4.4 MiB | 2025-07-29 07:57:05 |
| swi-prolog-9.2.9-r0.apk | 4.5 MiB | 2024-12-21 10:08:18 |
| fq-0.16.0-r1.apk | 4.5 MiB | 2026-01-19 00:22:18 |
| mobroute-0.10.0-r6.apk | 4.5 MiB | 2026-01-19 00:22:26 |
| acmetool-0.2.2-r19.apk | 4.5 MiB | 2026-01-19 00:22:14 |
| yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-03-13 22:33:14 |
| wgcf-2.2.29-r2.apk | 4.5 MiB | 2026-01-19 00:22:38 |
| cvise-2.11.0-r0.apk | 4.6 MiB | 2025-03-10 20:53:26 |
| dhewm3-1.5.4-r0.apk | 4.6 MiB | 2025-02-22 12:17:56 |
| kile-doc-3.0_beta4-r0.apk | 4.6 MiB | 2025-10-27 14:06:12 |
| geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-10-25 19:07:16 |
| reg-0.16.1-r34.apk | 4.6 MiB | 2026-01-19 00:22:29 |
| kubectl-krew-0.4.5-r8.apk | 4.6 MiB | 2026-01-19 00:22:24 |
| nzbget-25.4-r0.apk | 4.6 MiB | 2025-11-17 17:13:24 |
| tqm-1.18.0-r1.apk | 4.6 MiB | 2026-01-19 00:22:34 |
| smb4k-doc-4.0.5-r0.apk | 4.6 MiB | 2026-01-11 01:17:10 |
| prometheus-smartctl-exporter-0.14.0-r6.apk | 4.6 MiB | 2026-01-19 00:22:29 |
| cargo-geiger-0.13.0-r0.apk | 4.6 MiB | 2025-10-10 17:33:30 |
| incus-ui-canonical-0.18.0-r0.apk | 4.7 MiB | 2025-08-22 12:19:33 |
| manifest-tool-2.2.1-r0.apk | 4.7 MiB | 2026-01-23 17:23:36 |
| gx-0.14.3-r36.apk | 4.7 MiB | 2026-01-19 00:22:20 |
| py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk | 4.7 MiB | 2024-10-25 19:08:17 |
| packwiz-0_git20251102-r2.apk | 4.7 MiB | 2026-01-19 00:22:27 |
| prometheus-fastly-exporter-10.2.0-r1.apk | 4.7 MiB | 2026-01-19 00:22:28 |
| alertmanager-irc-relay-0.5.1-r1.apk | 4.7 MiB | 2025-09-09 13:17:22 |
| prometheus-opnsense-exporter-0.0.11-r3.apk | 4.8 MiB | 2026-01-19 00:22:28 |
| fathom-1.3.1-r19.apk | 4.8 MiB | 2026-01-19 00:22:18 |
| geodns-3.3.0-r19.apk | 4.8 MiB | 2026-01-19 00:22:19 |
| ostui-1.0.4-r0.apk | 4.8 MiB | 2025-09-11 02:50:13 |
| tfupdate-0.8.2-r12.apk | 4.8 MiB | 2026-01-19 00:22:33 |
| rizin-libs-0.8.1-r0.apk | 4.8 MiB | 2025-07-01 18:10:44 |
| mint-themes-2.3.7-r1.apk | 4.8 MiB | 2026-01-24 14:18:44 |
| envconsul-0.13.4-r2.apk | 4.8 MiB | 2026-01-19 00:22:18 |
| qownnotes-lang-25.12.5-r0.apk | 4.9 MiB | 2025-12-14 19:46:40 |
| secsipidx-dev-1.3.2-r18.apk | 4.9 MiB | 2026-01-19 00:22:31 |
| py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-10-25 19:08:18 |
| gx-go-1.9.0-r38.apk | 4.9 MiB | 2026-01-19 00:22:20 |
| py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-12-01 20:08:09 |
| qtox-1.18.3-r0.apk | 5.0 MiB | 2025-11-29 16:44:52 |
| conntracct-0.2.7-r37.apk | 5.0 MiB | 2026-01-19 00:22:17 |
| elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-05-13 06:37:52 |
| acmeleaf-0.2.0-r2.apk | 5.0 MiB | 2026-01-19 00:22:14 |
| dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-10-25 19:07:06 |
| s5cmd-2.3.0-r9.apk | 5.2 MiB | 2026-01-19 00:22:30 |
| templ-0.3.960-r2.apk | 5.2 MiB | 2026-01-19 00:22:33 |
| rattler-build-0.18.0-r0.apk | 5.3 MiB | 2024-10-25 19:08:22 |
| autoscan-1.4.0-r17.apk | 5.3 MiB | 2026-01-19 00:22:14 |
| scalingo-1.30.0-r16.apk | 5.3 MiB | 2026-01-19 00:22:30 |
| compiz-0.9.14.2-r13.apk | 5.3 MiB | 2025-11-29 00:00:59 |
| pihole-6.2.3-r0.apk | 5.4 MiB | 2025-06-20 18:05:20 |
| rustic-0.9.3-r0.apk | 5.4 MiB | 2024-10-25 19:08:25 |
| speedtest-go-1.1.5-r21.apk | 5.4 MiB | 2026-01-19 00:22:31 |
| comics-downloader-gui-0.33.8-r16.apk | 5.5 MiB | 2026-01-19 00:22:16 |
| cargo-crev-0.26.3-r0.apk | 5.5 MiB | 2025-03-02 16:14:20 |
| kubectl-oidc_login-1.34.2-r2.apk | 5.5 MiB | 2026-01-19 00:22:24 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-10-25 19:07:15 |
| alps-0_git20230807-r18.apk | 5.5 MiB | 2026-01-19 00:22:14 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk | 5.6 MiB | 2024-10-25 19:08:16 |
| drone-cli-1.8.0-r16.apk | 5.6 MiB | 2026-01-19 00:22:18 |
| muse-4.2.1-r2.apk | 5.6 MiB | 2025-05-12 11:42:11 |
| qbittorrent-cli-2.2.0-r6.apk | 5.6 MiB | 2026-01-19 00:22:29 |
| virter-0.29.0-r6.apk | 5.7 MiB | 2026-01-19 00:22:37 |
| spacectl-1.12.0-r7.apk | 5.7 MiB | 2026-01-19 00:22:31 |
| yoshimi-2.3.3.3-r0.apk | 5.7 MiB | 2025-03-13 22:33:14 |
| ffmpeg4-libavcodec-4.4.6-r0.apk | 5.7 MiB | 2026-01-04 01:24:33 |
| clementine-1.4.1_git20250503-r0.apk | 5.7 MiB | 2025-06-12 12:11:55 |
| lefthook-2.0.4-r2.apk | 5.7 MiB | 2026-01-19 00:22:25 |
| advancemame-data-3.9-r4.apk | 5.8 MiB | 2024-10-25 19:05:53 |
| tofu-ls-0.2.0-r2.apk | 5.8 MiB | 2026-01-19 00:22:34 |
| pict-rs-0.5.19-r1.apk | 5.9 MiB | 2025-10-14 13:44:45 |
| sydbox-utils-3.45.2-r0.apk | 5.9 MiB | 2025-12-03 02:58:35 |
| oniux-0.7.0-r0.apk | 5.9 MiB | 2026-01-19 00:22:27 |
| ty-0.0.4-r0.apk | 5.9 MiB | 2025-12-21 18:52:14 |
| boost1.89-static-1.89.0-r1.apk | 6.0 MiB | 2026-01-19 00:22:15 |
| glow-2.1.1-r6.apk | 6.0 MiB | 2026-01-19 00:22:19 |
| go-passbolt-cli-0.3.2-r9.apk | 6.0 MiB | 2026-01-19 00:22:19 |
| wf-shell-0.9.0-r0.apk | 6.0 MiB | 2025-02-27 20:17:05 |
| crowdsec-sentinel-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| crowdsec-splunk-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| crowdsec-http-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| crowdsec-file-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| crowdsec-slack-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| crowdsec-email-plugin-1.7.4-r1.apk | 6.1 MiB | 2026-01-19 00:22:17 |
| fpc-stage0-3.2.2-r3.apk | 6.2 MiB | 2024-10-25 19:07:14 |
| goshs-1.1.3-r1.apk | 6.2 MiB | 2026-01-19 00:22:19 |
| libdcmtk-3.7.0-r0.apk | 6.2 MiB | 2025-12-28 20:54:41 |
| theforceengine-doc-1.09.540-r2.apk | 6.3 MiB | 2026-01-09 20:09:12 |
| go-jsonnet-0.21.0-r6.apk | 6.4 MiB | 2026-01-19 00:22:19 |
| libretro-mame2003-0_git20240904-r0.apk | 6.4 MiB | 2024-10-25 19:07:34 |
| amdgpu_top-0.11.0-r0.apk | 6.5 MiB | 2025-09-03 00:48:36 |
| unicorn-2.1.4-r0.apk | 6.5 MiB | 2025-10-14 14:03:59 |
| upterm-server-0.17.0-r2.apk | 6.5 MiB | 2026-01-19 00:22:36 |
| py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-10-25 19:08:15 |
| mautrix-linkedin-0.2512.0-r1.apk | 6.5 MiB | 2026-01-19 00:22:26 |
| gambit-dev-4.9.5-r1.apk | 6.6 MiB | 2025-04-07 10:32:57 |
| mautrix-zulip-0.2511.0-r2.apk | 6.6 MiB | 2026-01-19 00:22:26 |
| zrepl-0.6.1-r17.apk | 6.6 MiB | 2026-01-19 00:22:38 |
| theforceengine-1.09.540-r2.apk | 6.7 MiB | 2026-01-09 20:09:12 |
| coccinelle-1.1.1-r2.apk | 6.7 MiB | 2024-10-25 19:06:04 |
| sqlmap-1.10-r0.apk | 6.8 MiB | 2026-01-12 17:39:04 |
| ovn-dbg-25.09.2-r0.apk | 6.8 MiB | 2025-12-14 22:05:19 |
| tanka-0.35.0-r2.apk | 6.8 MiB | 2026-01-19 00:22:32 |
| nom-2.8.0-r9.apk | 6.9 MiB | 2026-01-19 00:22:26 |
| upterm-0.17.0-r2.apk | 6.9 MiB | 2026-01-19 00:22:35 |
| psst-0_git20240526-r1.apk | 6.9 MiB | 2024-10-25 19:08:14 |
| telegram-bot-api-9.3-r0.apk | 7.0 MiB | 2026-01-19 00:22:33 |
| tauri-cli-2.4.0-r0.apk | 7.0 MiB | 2025-04-01 21:54:36 |
| gb-0.4.4-r37.apk | 7.1 MiB | 2026-01-19 00:22:18 |
| telegram-tdlib-1.8.60-r0.apk | 7.1 MiB | 2026-01-19 00:22:33 |
| kompose-1.31.2-r16.apk | 7.2 MiB | 2026-01-19 00:22:23 |
| ovhcloud-cli-0.9.0-r1.apk | 7.3 MiB | 2026-01-19 00:22:27 |
| faust-2.79.3-r0.apk | 7.4 MiB | 2025-06-07 17:02:09 |
| filebrowser-2.27.0-r17.apk | 7.4 MiB | 2026-01-19 00:22:18 |
| protoconf-0.1.7-r19.apk | 7.4 MiB | 2026-01-19 00:22:29 |
| desync-0.9.6-r11.apk | 7.5 MiB | 2026-01-19 00:22:18 |
| unicorn-dev-2.1.4-r0.apk | 7.5 MiB | 2025-10-14 14:03:59 |
| azote-1.14.0-r0.apk | 7.6 MiB | 2024-12-14 20:38:02 |
| supercollider-3.14.1-r0.apk | 7.7 MiB | 2026-01-09 00:35:55 |
| kine-0.10.1-r19.apk | 7.7 MiB | 2026-01-19 00:22:23 |
| pixi-0.24.2-r0.apk | 7.8 MiB | 2024-10-25 19:08:11 |
| warp-s3-1.4.0-r0.apk | 7.9 MiB | 2026-01-22 00:14:06 |
| go-away-0.7.0-r1.apk | 7.9 MiB | 2025-09-09 13:17:26 |
| py3-okonomiyaki-2.0.0-r1.apk | 7.9 MiB | 2025-10-15 01:02:31 |
| otf-cli-0.4.9-r1.apk | 7.9 MiB | 2026-01-19 00:22:27 |
| glmark2-2023.01-r1.apk | 7.9 MiB | 2024-10-25 19:07:16 |
| mir-dev-2.25.2-r0.apk | 7.9 MiB | 2025-12-18 14:17:18 |
| sish-2.16.1-r11.apk | 7.9 MiB | 2026-01-19 00:22:31 |
| grpcurl-1.9.3-r9.apk | 8.0 MiB | 2026-01-19 00:22:20 |
| wlroots0.18-static-0.18.3-r0.apk | 8.0 MiB | 2025-11-28 23:54:22 |
| youki-dbg-0.5.7-r0.apk | 8.1 MiB | 2026-01-05 20:17:26 |
| otf-agent-0.4.9-r1.apk | 8.1 MiB | 2026-01-19 00:22:27 |
| tofutf-cli-0.10.0-r11.apk | 8.3 MiB | 2026-01-19 00:22:34 |
| transito-0.10.0-r1.apk | 8.3 MiB | 2026-01-19 00:22:34 |
| davmail-6.5.1-r0.apk | 8.3 MiB | 2025-11-17 15:33:21 |
| sc3-plugins-3.13.0-r2.apk | 8.4 MiB | 2025-02-08 23:44:09 |
| grpcui-1.5.1-r5.apk | 8.4 MiB | 2026-01-19 00:22:20 |
| texlab-5.25.0-r0.apk | 8.5 MiB | 2025-12-30 21:15:21 |
| py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-10-25 19:08:15 |
| oauth2-proxy-7.11.0-r5.apk | 8.5 MiB | 2026-01-19 00:22:27 |
| psi-plus-1.5.2096-r0.apk | 8.5 MiB | 2025-09-30 12:50:54 |
| arduino-cli-1.3.1-r3.apk | 8.5 MiB | 2026-01-19 00:22:14 |
| art_standalone-dev-0_git20251009-r1.apk | 8.6 MiB | 2026-01-14 03:13:16 |
| termusic-mpv-0.12.0-r0.apk | 8.7 MiB | 2025-10-13 01:36:55 |
| cc65-2.19-r0.apk | 8.8 MiB | 2024-10-25 19:06:00 |
| lumina-desktop-core-1.6.2-r0.apk | 8.8 MiB | 2024-10-25 19:07:38 |
| gdcm-doc-html-3.2.2-r3.apk | 8.9 MiB | 2025-12-02 05:04:12 |
| tofutf-agent-0.10.0-r11.apk | 8.9 MiB | 2026-01-19 00:22:34 |
| jfrog-cli-2.45.0-r18.apk | 9.0 MiB | 2026-01-19 00:22:22 |
| bomctl-0.1.9-r12.apk | 9.0 MiB | 2026-01-19 00:22:15 |
| linphone-5.3.38-r0.apk | 9.0 MiB | 2024-10-25 19:07:36 |
| piper-phonemize-2023.11.14.4-r9.apk | 9.0 MiB | 2025-07-15 10:28:49 |
| pspp-2.0.1-r1.apk | 9.1 MiB | 2025-10-08 10:22:43 |
| libretro-fbneo-0_git20220416-r0.apk | 9.1 MiB | 2024-10-25 19:07:34 |
| cloudflared-2025.11.1-r12.apk | 9.3 MiB | 2026-01-19 00:22:16 |
| remco-0.12.5-r6.apk | 9.5 MiB | 2026-01-19 00:22:30 |
| tenv-4.9.0-r2.apk | 9.5 MiB | 2026-01-19 00:22:33 |
| maxima-doc-extra-5.48.1-r9.apk | 9.6 MiB | 2025-08-22 10:18:40 |
| opcr-policy-0.3.1-r2.apk | 9.8 MiB | 2026-01-19 00:22:27 |
| git-bug-0.8.1-r7.apk | 9.8 MiB | 2026-01-19 00:22:19 |
| undock-0.10.0-r6.apk | 9.9 MiB | 2026-01-19 00:22:35 |
| openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-10-25 19:08:03 |
| mods-1.8.1-r4.apk | 10.0 MiB | 2026-01-19 00:22:26 |
| ko-0.17.1-r11.apk | 10.1 MiB | 2026-01-19 00:22:23 |
| mangal-4.0.6-r24.apk | 10.1 MiB | 2026-01-19 00:22:25 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-10-25 19:08:16 |
| haproxy-dataplaneapi2-2.9.18-r3.apk | 10.2 MiB | 2026-01-19 00:22:20 |
| gambit-4.9.5-r1.apk | 10.6 MiB | 2025-04-07 10:32:57 |
| dbmate-2.28.0-r2.apk | 10.6 MiB | 2026-01-19 00:22:17 |
| gotify-2.7.2-r3.apk | 10.6 MiB | 2026-01-19 00:22:20 |
| crow-translate-4.0.2-r0.apk | 10.7 MiB | 2025-09-21 15:29:32 |
| stayrtr-0.6.3-r2.apk | 10.8 MiB | 2026-01-19 00:22:32 |
| adguardhome-0.107.71-r1.apk | 11.0 MiB | 2026-01-19 00:22:14 |
| chasquid-1.17.0-r1.apk | 11.1 MiB | 2026-01-19 00:22:16 |
| mapnik-4.1.4-r1.apk | 11.3 MiB | 2026-01-14 03:13:18 |
| kubeseal-0.34.0-r0.apk | 11.5 MiB | 2026-01-19 00:22:25 |
| kismet-0.202509.1-r0.apk | 11.5 MiB | 2025-10-09 04:07:59 |
| regal-0.36.1-r2.apk | 11.6 MiB | 2026-01-19 00:22:29 |
| waifu2x-converter-cpp-5.3.4-r9.apk | 11.6 MiB | 2025-07-07 07:22:40 |
| vice-3.9-r3.apk | 11.7 MiB | 2026-01-09 20:09:13 |
| advancemame-3.9-r4.apk | 11.7 MiB | 2024-10-25 19:05:53 |
| jackal-0.64.0-r21.apk | 11.7 MiB | 2026-01-19 00:22:22 |
| linkquisition-1.6.1-r11.apk | 12.0 MiB | 2026-01-19 00:22:25 |
| noggin-model-0.1-r0.apk | 12.0 MiB | 2024-10-25 19:07:54 |
| helm-unittest-1.0.3-r2.apk | 12.1 MiB | 2026-01-19 00:22:21 |
| rt6-6.0.1-r0.apk | 12.3 MiB | 2025-08-13 03:46:26 |
| gmic-3.6.0-r2.apk | 12.3 MiB | 2026-01-03 16:23:02 |
| otf-server-0.4.9-r1.apk | 12.5 MiB | 2026-01-19 00:22:27 |
| gprbuild-25.0.0-r0.apk | 12.6 MiB | 2025-10-29 02:55:00 |
| fynedesk-0.4.0-r3.apk | 12.6 MiB | 2026-01-19 00:22:18 |
| ibus-typing-booster-2.29.0-r0.apk | 12.7 MiB | 2025-12-16 14:54:12 |
| pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-03-25 07:55:05 |
| tofutf-server-0.10.0-r11.apk | 13.0 MiB | 2026-01-19 00:22:34 |
| mtail-3.2.26-r2.apk | 13.3 MiB | 2026-01-19 00:22:26 |
| kube-no-trouble-0.7.3-r11.apk | 13.5 MiB | 2026-01-19 00:22:24 |
| taskcafe-0.3.6-r19.apk | 13.6 MiB | 2026-01-19 00:22:32 |
| regclient-0.11.1-r1.apk | 13.7 MiB | 2026-01-19 00:22:29 |
| gdcm-doc-pdf-3.2.2-r3.apk | 14.1 MiB | 2025-12-02 05:04:12 |
| runu-0.12.3-r2.apk | 14.1 MiB | 2026-01-19 00:22:30 |
| libresprite-1.2-r0.apk | 14.5 MiB | 2025-04-13 22:16:01 |
| prometheus-podman-exporter-1.18.1-r4.apk | 14.6 MiB | 2026-01-19 00:22:28 |
| virtctl-1.6.2-r2.apk | 15.0 MiB | 2026-01-19 00:22:37 |
| phosh-osk-data-se-0.42.0-r0.apk | 15.1 MiB | 2025-12-16 16:45:16 |
| whatsie-4.16.3-r0.apk | 15.3 MiB | 2025-01-12 13:00:47 |
| kopia-0.22.3-r1.apk | 15.4 MiB | 2026-01-19 00:22:24 |
| semaphoreui-2.16.37-r2.apk | 15.8 MiB | 2026-01-19 00:22:31 |
| kubepug-1.7.1-r16.apk | 16.2 MiB | 2026-01-19 00:22:25 |
| stalwart-mail-0.15.4-r3.apk | 16.3 MiB | 2026-01-22 00:30:30 |
| libretro-scummvm-0_git20210325-r0.apk | 16.4 MiB | 2024-10-25 19:07:35 |
| phosh-osk-data-uk-0.42.0-r0.apk | 16.4 MiB | 2025-12-16 16:45:16 |
| dnscontrol-4.31.1-r0.apk | 16.4 MiB | 2026-01-22 19:20:58 |
| katana-1.4.0-r0.apk | 16.5 MiB | 2026-01-22 01:25:13 |
| boost1.89-dev-1.89.0-r1.apk | 16.5 MiB | 2026-01-19 00:22:15 |
| icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-10-25 19:07:25 |
| faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-06-07 17:02:10 |
| rt5-5.0.8-r0.apk | 16.9 MiB | 2025-06-15 04:44:15 |
| keybase-client-6.2.8-r16.apk | 17.5 MiB | 2026-01-19 00:22:22 |
| hubble-cli-0.13.6-r11.apk | 17.5 MiB | 2026-01-19 00:22:22 |
| art_standalone-0_git20251009-r1.apk | 17.6 MiB | 2026-01-14 03:13:14 |
| bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-10-25 19:05:59 |
| httpx-1.8.1-r0.apk | 17.9 MiB | 2026-01-22 18:40:52 |
| lomiri-sounds-25.01-r0.apk | 18.0 MiB | 2025-08-19 20:07:43 |
| stern-1.33.0-r2.apk | 18.3 MiB | 2026-01-19 00:22:32 |
| font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-10-25 19:07:12 |
| helm-ls-0.5.4-r2.apk | 18.4 MiB | 2026-01-19 00:22:20 |
| bettercap-2.41.5-r1.apk | 18.8 MiB | 2026-01-19 00:22:15 |
| plakar-1.0.6-r2.apk | 18.9 MiB | 2026-01-19 00:22:28 |
| prowlarr-2.3.0.5236-r0.apk | 19.0 MiB | 2025-12-13 17:15:02 |
| mame-data-0.251-r0.apk | 19.1 MiB | 2024-10-25 19:07:43 |
| wtfutil-0.43.0-r19.apk | 19.1 MiB | 2026-01-19 00:22:38 |
| zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-10-25 19:09:11 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk | 19.5 MiB | 2024-10-25 19:08:16 |
| rke-1.4.3-r21.apk | 19.7 MiB | 2026-01-19 00:22:30 |
| phosh-osk-data-ru-0.42.0-r0.apk | 19.9 MiB | 2025-12-16 16:45:16 |
| kraftkit-0.12.3-r2.apk | 20.2 MiB | 2026-01-19 00:22:24 |
| lidarr-3.1.0.4875-r0.apk | 20.5 MiB | 2025-12-13 17:30:39 |
| py3-plotly-5.24.1-r2.apk | 20.5 MiB | 2025-04-18 22:10:43 |
| helm-mapkubeapis-0.6.1-r2.apk | 20.8 MiB | 2026-01-19 00:22:21 |
| yosys-0.57-r0.apk | 20.9 MiB | 2025-10-24 21:21:02 |
| py3-qgis-3.44.5-r0.apk | 21.0 MiB | 2025-12-04 13:54:41 |
| telegram-tdlib-static-1.8.60-r0.apk | 21.2 MiB | 2026-01-19 00:22:33 |
| avara-0.7.1-r1.apk | 21.3 MiB | 2024-11-04 08:52:35 |
| helm-diff-3.13.1-r2.apk | 21.3 MiB | 2026-01-19 00:22:20 |
| angband-4.2.5-r0.apk | 22.6 MiB | 2024-10-25 19:05:54 |
| knative-client-1.19.6-r2.apk | 22.8 MiB | 2026-01-19 00:22:23 |
| timoni-0.23.0-r11.apk | 23.1 MiB | 2026-01-19 00:22:34 |
| mint-x-icons-1.7.5-r0.apk | 23.2 MiB | 2025-12-01 06:04:17 |
| maxima-5.48.1-r9.apk | 24.5 MiB | 2025-08-22 10:18:40 |
| nsq-1.3.0-r10.apk | 25.3 MiB | 2025-05-12 07:06:34 |
| nextpnr-ecp5-0.7-r0.apk | 25.3 MiB | 2024-10-25 19:07:53 |
| seaweedfs-3.93-r5.apk | 25.6 MiB | 2026-01-19 00:22:31 |
| radarr-6.0.4.10291-r0.apk | 26.8 MiB | 2025-11-17 17:13:24 |
| sonarr-4.0.16.2944-r0.apk | 26.9 MiB | 2025-11-17 17:13:24 |
| popeye-0.22.1-r10.apk | 27.3 MiB | 2026-01-19 00:22:28 |
| thelounge-4.4.3-r0.apk | 27.7 MiB | 2024-10-25 19:08:55 |
| cdogs-sdl-2.3.2-r0.apk | 27.8 MiB | 2025-10-09 18:47:17 |
| stone-soup-0.33.1-r0.apk | 28.3 MiB | 2025-12-26 14:51:42 |
| otrs-6.0.48-r2.apk | 28.7 MiB | 2024-10-25 19:08:04 |
| spark-2.8.3-r1.apk | 28.9 MiB | 2024-10-25 19:08:48 |
| font-hanazono-20170904-r2.apk | 28.9 MiB | 2025-09-16 04:50:29 |
| cfssl-1.6.5-r11.apk | 29.0 MiB | 2026-01-19 00:22:16 |
| oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-10-25 19:08:06 |
| liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-10-25 19:07:37 |
| kubetail-0.11.0-r0.apk | 31.8 MiB | 2026-01-22 01:24:09 |
| zfs-src-2.4.0-r0.apk | 33.0 MiB | 2025-12-19 08:48:52 |
| efl-1.28.1-r2.apk | 33.4 MiB | 2025-03-23 15:03:53 |
| qgis-lang-3.44.5-r0.apk | 33.5 MiB | 2025-12-04 13:54:43 |
| yaru-icon-theme-25.10.3-r0.apk | 34.8 MiB | 2026-01-06 05:46:08 |
| vals-0.42.4-r2.apk | 35.9 MiB | 2026-01-19 00:22:37 |
| xmoto-data-0.6.3-r0.apk | 36.7 MiB | 2025-10-03 17:02:33 |
| crowdsec-1.7.4-r1.apk | 39.2 MiB | 2026-01-19 00:22:17 |
| scratch-1.4.0.7-r2.apk | 39.3 MiB | 2025-10-09 12:30:45 |
| extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-10-25 19:07:11 |
| dart-3.10.3-r1.apk | 40.8 MiB | 2026-01-14 03:13:16 |
| php82-dbg-8.2.30-r2.apk | 42.4 MiB | 2026-01-14 03:13:20 |
| usql-0.19.26-r2.apk | 42.4 MiB | 2026-01-19 00:22:36 |
| godot-templates-4.5.1-r1.apk | 43.7 MiB | 2026-01-14 03:13:18 |
| mame-mess-0.251-r0.apk | 44.1 MiB | 2024-10-25 19:07:44 |
| go-tools-0.41.0-r1.apk | 44.6 MiB | 2026-01-19 00:22:19 |
| nrf5-sdk-17.1.0-r0.apk | 47.0 MiB | 2025-08-19 19:54:05 |
| qgis-3.44.5-r0.apk | 47.3 MiB | 2025-12-04 13:54:42 |
| starfighter-2.4-r0.apk | 47.9 MiB | 2024-10-25 19:08:50 |
| hashcat-6.2.6-r0.apk | 51.8 MiB | 2024-10-25 19:07:23 |
| phosh-osk-data-es-0.42.0-r0.apk | 52.1 MiB | 2025-12-16 16:45:10 |
| phosh-osk-data-nl-0.42.0-r0.apk | 53.5 MiB | 2025-12-16 16:45:13 |
| cilium-cli-0.16.13-r11.apk | 53.8 MiB | 2026-01-19 00:22:16 |
| phosh-osk-data-pl-0.42.0-r0.apk | 56.7 MiB | 2025-12-16 16:45:14 |
| phosh-osk-data-pt-0.42.0-r0.apk | 56.7 MiB | 2025-12-16 16:45:15 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-10-25 19:08:17 |
| mame-arcade-0.251-r0.apk | 57.3 MiB | 2024-10-25 19:07:43 |
| phosh-osk-data-it-0.42.0-r0.apk | 58.0 MiB | 2025-12-16 16:45:12 |
| helmfile-1.1.8-r2.apk | 58.4 MiB | 2026-01-19 00:22:21 |
| stockfish-17-r0.apk | 61.8 MiB | 2025-03-03 22:42:05 |
| godot-4.5.1-r1.apk | 61.8 MiB | 2026-01-14 03:13:18 |
| phosh-osk-data-fi-0.42.0-r0.apk | 63.7 MiB | 2025-12-16 16:45:11 |
| phosh-osk-data-de-0.42.0-r0.apk | 64.3 MiB | 2025-12-16 16:45:09 |
| trivy-0.68.2-r1.apk | 65.0 MiB | 2026-01-19 00:22:35 |
| nextpnr-ice40-0.7-r0.apk | 68.5 MiB | 2024-10-25 19:07:54 |
| fpc-3.2.2-r4.apk | 68.5 MiB | 2024-10-25 19:07:14 |
| mint-y-icons-1.9.1-r0.apk | 73.1 MiB | 2026-01-06 13:43:27 |
| mame-0.251-r0.apk | 82.7 MiB | 2024-10-25 19:07:42 |
| hyperrogue-13.1i-r0.apk | 83.0 MiB | 2025-12-19 03:52:23 |
| piglit-0_git20241106-r1.apk | 87.6 MiB | 2025-05-12 21:20:41 |
| moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-10-25 19:07:51 |
| organicmaps-2025.09.05.1-r1.apk | 125.4 MiB | 2026-01-14 03:13:20 |
| dart-stage0-3.10.0_alpha244_p0-r0.apk | 129.0 MiB | 2025-11-17 15:33:20 |
| art_standalone-dbg-0_git20251009-r1.apk | 131.7 MiB | 2026-01-14 03:13:16 |
| dart-sdk-3.10.3-r1.apk | 135.6 MiB | 2026-01-14 03:13:17 |
| trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-03-25 15:39:41 |
| sauerbraten-2020.12.29-r4.apk | 933.6 MiB | 2025-02-22 12:19:01 |