-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: libnet-irr-perl Binary: libnet-irr-perl Architecture: all Version: 0.10-1 Maintainer: Debian Perl Group Uploaders: gregor herrmann Homepage: https://metacpan.org/release/Net-IRR Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/perl-team/modules/packages/libnet-irr-perl Vcs-Git: https://salsa.debian.org/perl-team/modules/packages/libnet-irr-perl.git Testsuite: autopkgtest-pkg-perl Build-Depends: debhelper-compat (= 13) Build-Depends-Indep: perl Package-List: libnet-irr-perl deb perl optional arch=all Checksums-Sha1: eaa7e5880254b254214eef1b7f845f571adbd0df 5966 libnet-irr-perl_0.10.orig.tar.gz 991e851ebeac24e5e20f93ad89e050b4120a1058 2276 libnet-irr-perl_0.10-1.debian.tar.xz Checksums-Sha256: a75d57125dad481c8245a07eb3032e14562ae7686a0781a7ac79db4860317e92 5966 libnet-irr-perl_0.10.orig.tar.gz 514270d1ba6c3558f665f38611fd97a55cdbd6f5198b0a9898d0dcb376de976a 2276 libnet-irr-perl_0.10-1.debian.tar.xz Files: 43dfa0f414e62c7746571d4e4ed0ec45 5966 libnet-irr-perl_0.10.orig.tar.gz 4d8c716849bb040df3e4960fa34c2112 2276 libnet-irr-perl_0.10-1.debian.tar.xz Dgit: 8f5b381568038d208c6fa5d9c76d49a946e3cba4 debian archive/debian/0.10-1 https://git.dgit.debian.org/libnet-irr-perl -----BEGIN PGP SIGNATURE----- iQKTBAEBCgB9FiEE0eExbpOnYKgQTYX6uzpoAYZJqgYFAmXaIiFfFIAAAAAALgAo aXNzdWVyLWZwckBub3RhdGlvbnMub3BlbnBncC5maWZ0aGhvcnNlbWFuLm5ldEQx RTEzMTZFOTNBNzYwQTgxMDREODVGQUJCM0E2ODAxODY0OUFBMDYACgkQuzpoAYZJ qgbpfQ/9HfBpIVSH0xvIMpvJdgU0hc2Y3ihJvVurwoQCdTs3yv4JJMC2GSze0+wC 6RYnj1O2eIPYvnIFZBO8n8CCTuukicdVCFex5ue1nODx56JQFuQcO1C1zFIqSd7C itqFHLRC7Ina7yUI4Wi44bFV8U4bNEL2XKkuwTu0OaHn9Fj1SUkJZgtlrVGTswto iV53smQyn+sxNySAuNxQlL1ASyqR8VXItbpp9+USP+DAAcg529TpaklWxErw3IH9 RDnroH3yb5kZJn/zlZzISPYRh/2uiEyAhoAkhjqHWlalRQhD7xK8KQ4WUUM7F5ot QlG4QMQkYr3gaLfELBIRlIECqF4GIUvVL+1F3mtgHoflQ2ovn/i6L491fiTHz/so hzvPcuh1lffuS97CsTvgrihYTYcX324Ysr5UzLyJahRFIKgtiQ+xU/GXbo2J/1vz gp0h9iRcr+ofc2FtN6nmusKRKiYst85yJo0YCOssVucYy7HhV7twNU3S7qR5dzMf s36vm17WmXn2EDncD2s08Z0ZPoWTNUVuTszZonCevMj4WqKTAuUJPiap6pLGUk1R EqJ/ln+pc7+uDxaXYLgh2KvMgmCcjM3SSd7nyjVaoHezWropkxathteaTa3dxq+k 29WxTBX9JoLzQAhe/I5MP2PIaZEXjnT8fTpWRTdB7EqXiZtHEUs= =P3gA -----END PGP SIGNATURE-----